Skip to content

Commit

Permalink
linter fix
Browse files Browse the repository at this point in the history
  • Loading branch information
ruck314 committed Jul 21, 2023
1 parent 22b7416 commit 89f050b
Showing 1 changed file with 4 additions and 6 deletions.
10 changes: 4 additions & 6 deletions tests/test_AxiLiteCrossbarTb.py
Original file line number Diff line number Diff line change
Expand Up @@ -8,12 +8,6 @@
## the terms contained in the LICENSE.txt file.
##############################################################################

# dut_tb
import itertools
import logging
import os
import random

import cocotb
from cocotb.clock import Clock
from cocotb.triggers import RisingEdge, Timer
Expand All @@ -26,6 +20,9 @@
import pytest
import glob
import os
import itertools
import logging
import random

class TB:
def __init__(self, dut):
Expand Down Expand Up @@ -87,6 +84,7 @@ async def run_test_bytes(dut, data_in=None, idle_inserter=None, backpressure_ins
test_data = bytearray([x % 256 for x in range(length)])
await tb.axil_master.write(addr, test_data)
data = await tb.axil_master.read(addr, length)
assert data.data == test_data

await RisingEdge(dut.S_AXI_ACLK)
await RisingEdge(dut.S_AXI_ACLK)
Expand Down

0 comments on commit 89f050b

Please sign in to comment.