-
Notifications
You must be signed in to change notification settings - Fork 10
/
.library_mapping.xml
72 lines (72 loc) · 5.36 KB
/
.library_mapping.xml
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
<?xml version="1.0" encoding="UTF-8"?>
<com.sigasi.hdt.shared.librarymapping.model:LibraryMappings xmlns:com.sigasi.hdt.shared.librarymapping.model="com.sigasi.hdt.vhdl.scoping.librarymapping" Version="2">
<Mappings Location="intel/altera_mf/altera_mf.vhd" Library="altera_mf"/>
<Mappings Location="intel/altera_mf/altera_mf_components.vhd" Library="altera_mf"/>
<Mappings Location="casper_accumulators" Library="casper_accumulator_lib"/>
<Mappings Location="casper_adder" Library="casper_adder_lib"/>
<Mappings Location="casper_counter" Library="casper_counter_lib"/>
<Mappings Location="casper_delay" Library="casper_delay_lib"/>
<Mappings Location="casper_diagnostics" Library="casper_diagnostics_lib"/>
<Mappings Location="casper_fifo" Library="casper_fifo_lib"/>
<Mappings Location="casper_filter" Library="casper_filter_lib"/>
<Mappings Location="casper_flow_control" Library="casper_flow_control_lib"/>
<Mappings Location="misc" Library="casper_misc_lib"/>
<Mappings Location="casper_mm" Library="casper_mm_lib"/>
<Mappings Location="casper_multiplexer" Library="casper_multiplexer_lib"/>
<Mappings Location="casper_multiplier" Library="casper_multiplier_lib"/>
<Mappings Location="casper_pipeline" Library="casper_pipeline_lib"/>
<Mappings Location="casper_ram" Library="casper_ram_lib"/>
<Mappings Location="casper_requantize" Library="casper_requantize_lib"/>
<Mappings Location="casper_reorder" Library="casper_reorder_lib"/>
<Mappings Location="casper_sim_tools" Library="casper_sim_tools_lib"/>
<Mappings Location="casper_statistics" Library="casper_statistics_lib"/>
<Mappings Location="common_components" Library="common_components_lib"/>
<Mappings Location="common_pkg" Library="common_pkg_lib"/>
<Mappings Location="common_slv_arr_pkg" Library="common_slv_arr_pkg_lib"/>
<Mappings Location="casper_dp_components" Library="dp_components_lib"/>
<Mappings Location="casper_dp_pkg" Library="dp_pkg_lib"/>
<Mappings Location="Common Libraries/IEEE" Library="ieee"/>
<Mappings Location="Common Libraries/IEEE Synopsys" Library="ieee"/>
<Mappings Location="ip_stratixiv/fifo" Library="ip_stratixiv_fifo_lib"/>
<Mappings Location="ip_stratixiv/mult" Library="ip_stratixiv_mult_lib"/>
<Mappings Location="ip_stratixiv/ram" Library="ip_stratixiv_ram_lib"/>
<Mappings Location="ip_xpm/fifo" Library="ip_xpm_fifo_lib"/>
<Mappings Location="ip_xpm/mult" Library="ip_xpm_mult_lib"/>
<Mappings Location="ip_xpm/ram" Library="ip_xpm_ram_lib"/>
<Mappings Location="intel/altera_mf/lpm_pack.vhd" Library="lpm"/>
<Mappings Location="Common Libraries" Library="not mapped"/>
<Mappings Location="Common Libraries/unisim/primitive" Library="not mapped"/>
<Mappings Location="Common Libraries/unisim/retarget" Library="not mapped"/>
<Mappings Location="Common Libraries/unisim/retarget_VCOMP.vhd" Library="not mapped"/>
<Mappings Location="Common Libraries/unisim/secureip" Library="not mapped"/>
<Mappings Location="Common Libraries/unisim/unisim_retarget_VCOMP.vhd" Library="not mapped"/>
<Mappings Location="casper_accumulators/dsp48e_bram_vacc.vhd" Library="not mapped"/>
<Mappings Location="casper_accumulators/tb_dsp48e_bram_vacc.vhd" Library="not mapped"/>
<Mappings Location="casper_wb_fft/tb_fft_wide_unit.vhd" Library="not mapped"/>
<Mappings Location="casper_wb_fft/tb_mmf_fft_r2.vhd" Library="not mapped"/>
<Mappings Location="casper_wb_fft/tb_mmf_fft_r2_par.vhd" Library="not mapped"/>
<Mappings Location="casper_wb_fft/tb_mmf_fft_r2_pipe.vhd" Library="not mapped"/>
<Mappings Location="casper_wb_fft/tb_mmf_fft_wide_unit.vhd" Library="not mapped"/>
<Mappings Location="casper_wb_fft/tb_tb_fft_r2_par.vhd" Library="not mapped"/>
<Mappings Location="casper_wb_fft/tb_tb_fft_r2_pipe.vhd" Library="not mapped"/>
<Mappings Location="casper_wb_fft/tb_tb_fft_r2_wide.vhd" Library="not mapped"/>
<Mappings Location="casper_wbpfb/tb_tb_wbpfb_unit_wide.vhd" Library="not mapped"/>
<Mappings Location="technology/technology_select_pkg_casperunb1.vhd" Library="not mapped"/>
<Mappings Location="technology/technology_select_pkg_casperxpm.vhd" Library="not mapped"/>
<Mappings Location="Common Libraries/osvvm" Library="osvvm"/>
<Mappings Location="r2sdf_fft" Library="r2sdf_fft_lib"/>
<Mappings Location="Common Libraries/STD" Library="std"/>
<Mappings Location="technology" Library="technology_lib"/>
<Mappings Location="Common Libraries/unisim" Library="unisim"/>
<Mappings Location="Common Libraries/vunit_lib" Library="vunit_lib"/>
<Mappings Location="casper_wb_fft" Library="wb_fft_lib"/>
<Mappings Location="" Library="work"/>
<Mappings Location="casper_wbpfb" Library="wpfb_lib"/>
<Mappings Location="Common Libraries/xpm/xpm_VCOMP.vhd" Library="xpm"/>
<Mappings Location="xilinx/xpm_vhdl/src/xpm/xpm_VCOMP.vhd" Library="xpm"/>
<Mappings Location="xilinx/xpm_vhdl/src/xpm/xpm_memory/hdl/xpm_memory_base.vhd" Library="xpm"/>
<Mappings Location="xilinx/xpm_vhdl/src/xpm/xpm_memory/hdl/xpm_memory_dprom.vhd" Library="xpm"/>
<Mappings Location="xilinx/xpm_vhdl/src/xpm/xpm_memory/hdl/xpm_memory_sdpram.vhd" Library="xpm"/>
<Mappings Location="xilinx/xpm_vhdl/src/xpm/xpm_memory/hdl/xpm_memory_sprom.vhd" Library="xpm"/>
<Mappings Location="xilinx/xpm_vhdl/src/xpm/xpm_memory/hdl/xpm_memory_tdpram.vhd" Library="xpm"/>
</com.sigasi.hdt.shared.librarymapping.model:LibraryMappings>