diff --git a/lab3/db/gA6_lab3.(1).cnf.cdb b/lab3/db/gA6_lab3.(1).cnf.cdb index af93ad6..a6c2209 100644 Binary files a/lab3/db/gA6_lab3.(1).cnf.cdb and b/lab3/db/gA6_lab3.(1).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(1).cnf.hdb b/lab3/db/gA6_lab3.(1).cnf.hdb index 4cde686..5779696 100644 Binary files a/lab3/db/gA6_lab3.(1).cnf.hdb and b/lab3/db/gA6_lab3.(1).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(11).cnf.cdb b/lab3/db/gA6_lab3.(11).cnf.cdb index ce5cf56..9662918 100644 Binary files a/lab3/db/gA6_lab3.(11).cnf.cdb and b/lab3/db/gA6_lab3.(11).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(11).cnf.hdb b/lab3/db/gA6_lab3.(11).cnf.hdb index 47590c7..ef22254 100644 Binary files a/lab3/db/gA6_lab3.(11).cnf.hdb and b/lab3/db/gA6_lab3.(11).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(245).cnf.cdb b/lab3/db/gA6_lab3.(245).cnf.cdb index 0a16f14..1743f0b 100644 Binary files a/lab3/db/gA6_lab3.(245).cnf.cdb and b/lab3/db/gA6_lab3.(245).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(245).cnf.hdb b/lab3/db/gA6_lab3.(245).cnf.hdb index 8e74322..e9a5383 100644 Binary files a/lab3/db/gA6_lab3.(245).cnf.hdb and b/lab3/db/gA6_lab3.(245).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(304).cnf.cdb b/lab3/db/gA6_lab3.(304).cnf.cdb new file mode 100644 index 0000000..8760afe Binary files /dev/null and b/lab3/db/gA6_lab3.(304).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(304).cnf.hdb b/lab3/db/gA6_lab3.(304).cnf.hdb new file mode 100644 index 0000000..79654c2 Binary files /dev/null and b/lab3/db/gA6_lab3.(304).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(305).cnf.cdb b/lab3/db/gA6_lab3.(305).cnf.cdb new file mode 100644 index 0000000..bc2ccad Binary files /dev/null and b/lab3/db/gA6_lab3.(305).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(305).cnf.hdb b/lab3/db/gA6_lab3.(305).cnf.hdb new file mode 100644 index 0000000..93049ea Binary files /dev/null and b/lab3/db/gA6_lab3.(305).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(306).cnf.cdb b/lab3/db/gA6_lab3.(306).cnf.cdb new file mode 100644 index 0000000..84e1fc9 Binary files /dev/null and b/lab3/db/gA6_lab3.(306).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(306).cnf.hdb b/lab3/db/gA6_lab3.(306).cnf.hdb new file mode 100644 index 0000000..c59d7c1 Binary files /dev/null and b/lab3/db/gA6_lab3.(306).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(307).cnf.cdb b/lab3/db/gA6_lab3.(307).cnf.cdb new file mode 100644 index 0000000..3c499e7 Binary files /dev/null and b/lab3/db/gA6_lab3.(307).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(307).cnf.hdb b/lab3/db/gA6_lab3.(307).cnf.hdb new file mode 100644 index 0000000..f4bd474 Binary files /dev/null and b/lab3/db/gA6_lab3.(307).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(308).cnf.cdb b/lab3/db/gA6_lab3.(308).cnf.cdb new file mode 100644 index 0000000..5590358 Binary files /dev/null and b/lab3/db/gA6_lab3.(308).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(308).cnf.hdb b/lab3/db/gA6_lab3.(308).cnf.hdb new file mode 100644 index 0000000..6b501d5 Binary files /dev/null and b/lab3/db/gA6_lab3.(308).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(309).cnf.cdb b/lab3/db/gA6_lab3.(309).cnf.cdb new file mode 100644 index 0000000..6944b0f Binary files /dev/null and b/lab3/db/gA6_lab3.(309).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(309).cnf.hdb b/lab3/db/gA6_lab3.(309).cnf.hdb new file mode 100644 index 0000000..c918205 Binary files /dev/null and b/lab3/db/gA6_lab3.(309).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(310).cnf.cdb b/lab3/db/gA6_lab3.(310).cnf.cdb new file mode 100644 index 0000000..06e1fe8 Binary files /dev/null and b/lab3/db/gA6_lab3.(310).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(310).cnf.hdb b/lab3/db/gA6_lab3.(310).cnf.hdb new file mode 100644 index 0000000..eda6eba Binary files /dev/null and b/lab3/db/gA6_lab3.(310).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(311).cnf.cdb b/lab3/db/gA6_lab3.(311).cnf.cdb new file mode 100644 index 0000000..a2dab24 Binary files /dev/null and b/lab3/db/gA6_lab3.(311).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(311).cnf.hdb b/lab3/db/gA6_lab3.(311).cnf.hdb new file mode 100644 index 0000000..fdcd8ba Binary files /dev/null and b/lab3/db/gA6_lab3.(311).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(312).cnf.cdb b/lab3/db/gA6_lab3.(312).cnf.cdb new file mode 100644 index 0000000..43c741c Binary files /dev/null and b/lab3/db/gA6_lab3.(312).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(312).cnf.hdb b/lab3/db/gA6_lab3.(312).cnf.hdb new file mode 100644 index 0000000..12a1b7a Binary files /dev/null and b/lab3/db/gA6_lab3.(312).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(313).cnf.cdb b/lab3/db/gA6_lab3.(313).cnf.cdb new file mode 100644 index 0000000..f8992a5 Binary files /dev/null and b/lab3/db/gA6_lab3.(313).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(313).cnf.hdb b/lab3/db/gA6_lab3.(313).cnf.hdb new file mode 100644 index 0000000..a82a6d5 Binary files /dev/null and b/lab3/db/gA6_lab3.(313).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(314).cnf.cdb b/lab3/db/gA6_lab3.(314).cnf.cdb new file mode 100644 index 0000000..df622b3 Binary files /dev/null and b/lab3/db/gA6_lab3.(314).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(314).cnf.hdb b/lab3/db/gA6_lab3.(314).cnf.hdb new file mode 100644 index 0000000..619b7cc Binary files /dev/null and b/lab3/db/gA6_lab3.(314).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(315).cnf.cdb b/lab3/db/gA6_lab3.(315).cnf.cdb new file mode 100644 index 0000000..1560ac2 Binary files /dev/null and b/lab3/db/gA6_lab3.(315).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(315).cnf.hdb b/lab3/db/gA6_lab3.(315).cnf.hdb new file mode 100644 index 0000000..4e7f217 Binary files /dev/null and b/lab3/db/gA6_lab3.(315).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(316).cnf.cdb b/lab3/db/gA6_lab3.(316).cnf.cdb new file mode 100644 index 0000000..4061c9a Binary files /dev/null and b/lab3/db/gA6_lab3.(316).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(316).cnf.hdb b/lab3/db/gA6_lab3.(316).cnf.hdb new file mode 100644 index 0000000..c7f72f6 Binary files /dev/null and b/lab3/db/gA6_lab3.(316).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(317).cnf.cdb b/lab3/db/gA6_lab3.(317).cnf.cdb new file mode 100644 index 0000000..c964f38 Binary files /dev/null and b/lab3/db/gA6_lab3.(317).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(317).cnf.hdb b/lab3/db/gA6_lab3.(317).cnf.hdb new file mode 100644 index 0000000..90deaf1 Binary files /dev/null and b/lab3/db/gA6_lab3.(317).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(318).cnf.cdb b/lab3/db/gA6_lab3.(318).cnf.cdb new file mode 100644 index 0000000..55b59d1 Binary files /dev/null and b/lab3/db/gA6_lab3.(318).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(318).cnf.hdb b/lab3/db/gA6_lab3.(318).cnf.hdb new file mode 100644 index 0000000..68a3baa Binary files /dev/null and b/lab3/db/gA6_lab3.(318).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(319).cnf.cdb b/lab3/db/gA6_lab3.(319).cnf.cdb new file mode 100644 index 0000000..7bb5975 Binary files /dev/null and b/lab3/db/gA6_lab3.(319).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(319).cnf.hdb b/lab3/db/gA6_lab3.(319).cnf.hdb new file mode 100644 index 0000000..035e10b Binary files /dev/null and b/lab3/db/gA6_lab3.(319).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(320).cnf.cdb b/lab3/db/gA6_lab3.(320).cnf.cdb new file mode 100644 index 0000000..6075686 Binary files /dev/null and b/lab3/db/gA6_lab3.(320).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(320).cnf.hdb b/lab3/db/gA6_lab3.(320).cnf.hdb new file mode 100644 index 0000000..021bcb1 Binary files /dev/null and b/lab3/db/gA6_lab3.(320).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(321).cnf.cdb b/lab3/db/gA6_lab3.(321).cnf.cdb new file mode 100644 index 0000000..f06e969 Binary files /dev/null and b/lab3/db/gA6_lab3.(321).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(321).cnf.hdb b/lab3/db/gA6_lab3.(321).cnf.hdb new file mode 100644 index 0000000..bc76d76 Binary files /dev/null and b/lab3/db/gA6_lab3.(321).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(322).cnf.cdb b/lab3/db/gA6_lab3.(322).cnf.cdb new file mode 100644 index 0000000..9bf2b34 Binary files /dev/null and b/lab3/db/gA6_lab3.(322).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(322).cnf.hdb b/lab3/db/gA6_lab3.(322).cnf.hdb new file mode 100644 index 0000000..a82a6d5 Binary files /dev/null and b/lab3/db/gA6_lab3.(322).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(323).cnf.cdb b/lab3/db/gA6_lab3.(323).cnf.cdb new file mode 100644 index 0000000..52ebdb8 Binary files /dev/null and b/lab3/db/gA6_lab3.(323).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(323).cnf.hdb b/lab3/db/gA6_lab3.(323).cnf.hdb new file mode 100644 index 0000000..44837e3 Binary files /dev/null and b/lab3/db/gA6_lab3.(323).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(324).cnf.cdb b/lab3/db/gA6_lab3.(324).cnf.cdb new file mode 100644 index 0000000..b551ab0 Binary files /dev/null and b/lab3/db/gA6_lab3.(324).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(324).cnf.hdb b/lab3/db/gA6_lab3.(324).cnf.hdb new file mode 100644 index 0000000..b38ff67 Binary files /dev/null and b/lab3/db/gA6_lab3.(324).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(325).cnf.cdb b/lab3/db/gA6_lab3.(325).cnf.cdb new file mode 100644 index 0000000..6d8817d Binary files /dev/null and b/lab3/db/gA6_lab3.(325).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(325).cnf.hdb b/lab3/db/gA6_lab3.(325).cnf.hdb new file mode 100644 index 0000000..66b6db2 Binary files /dev/null and b/lab3/db/gA6_lab3.(325).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(326).cnf.cdb b/lab3/db/gA6_lab3.(326).cnf.cdb new file mode 100644 index 0000000..b9acc39 Binary files /dev/null and b/lab3/db/gA6_lab3.(326).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(326).cnf.hdb b/lab3/db/gA6_lab3.(326).cnf.hdb new file mode 100644 index 0000000..e191b66 Binary files /dev/null and b/lab3/db/gA6_lab3.(326).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(327).cnf.cdb b/lab3/db/gA6_lab3.(327).cnf.cdb new file mode 100644 index 0000000..3f728dc Binary files /dev/null and b/lab3/db/gA6_lab3.(327).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(327).cnf.hdb b/lab3/db/gA6_lab3.(327).cnf.hdb new file mode 100644 index 0000000..46b97ef Binary files /dev/null and b/lab3/db/gA6_lab3.(327).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(328).cnf.cdb b/lab3/db/gA6_lab3.(328).cnf.cdb new file mode 100644 index 0000000..c4298fd Binary files /dev/null and b/lab3/db/gA6_lab3.(328).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(328).cnf.hdb b/lab3/db/gA6_lab3.(328).cnf.hdb new file mode 100644 index 0000000..d88cca5 Binary files /dev/null and b/lab3/db/gA6_lab3.(328).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.(329).cnf.cdb b/lab3/db/gA6_lab3.(329).cnf.cdb new file mode 100644 index 0000000..b3a43f7 Binary files /dev/null and b/lab3/db/gA6_lab3.(329).cnf.cdb differ diff --git a/lab3/db/gA6_lab3.(329).cnf.hdb b/lab3/db/gA6_lab3.(329).cnf.hdb new file mode 100644 index 0000000..165c895 Binary files /dev/null and b/lab3/db/gA6_lab3.(329).cnf.hdb differ diff --git a/lab3/db/gA6_lab3.asm.qmsg b/lab3/db/gA6_lab3.asm.qmsg index 7384298..922dd73 100644 --- a/lab3/db/gA6_lab3.asm.qmsg +++ b/lab3/db/gA6_lab3.asm.qmsg @@ -1,6 +1,6 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1509590928197 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition " "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1509590928197 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Nov 01 22:48:48 2017 " "Processing started: Wed Nov 01 22:48:48 2017" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1509590928197 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1509590928197 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off gA6_lab3 -c gA6_lab3 " "Command: quartus_asm --read_settings_files=off --write_settings_files=off gA6_lab3 -c gA6_lab3" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1509590928197 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1509590929384 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1509590929478 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "503 " "Peak virtual memory: 503 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1509590930326 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Nov 01 22:48:50 2017 " "Processing ended: Wed Nov 01 22:48:50 2017" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1509590930326 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1509590930326 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1509590930326 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1509590930326 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1509605721720 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition " "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1509605721723 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Nov 02 02:55:21 2017 " "Processing started: Thu Nov 02 02:55:21 2017" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1509605721723 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1509605721723 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off gA6_lab3 -c gA6_lab3 " "Command: quartus_asm --read_settings_files=off --write_settings_files=off gA6_lab3 -c gA6_lab3" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1509605721724 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1509605724845 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1509605724987 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "515 " "Peak virtual memory: 515 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1509605726554 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Nov 02 02:55:26 2017 " "Processing ended: Thu Nov 02 02:55:26 2017" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1509605726554 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1509605726554 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1509605726554 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1509605726554 ""} diff --git a/lab3/db/gA6_lab3.asm.rdb b/lab3/db/gA6_lab3.asm.rdb index 4818d6d..a697575 100644 Binary files a/lab3/db/gA6_lab3.asm.rdb and b/lab3/db/gA6_lab3.asm.rdb differ diff --git a/lab3/db/gA6_lab3.asm_labs.ddb b/lab3/db/gA6_lab3.asm_labs.ddb index f0b3e61..7c87cf0 100644 Binary files a/lab3/db/gA6_lab3.asm_labs.ddb and b/lab3/db/gA6_lab3.asm_labs.ddb differ diff --git a/lab3/db/gA6_lab3.cbx.xml b/lab3/db/gA6_lab3.cbx.xml index 832b389..1d44a9b 100644 --- a/lab3/db/gA6_lab3.cbx.xml +++ b/lab3/db/gA6_lab3.cbx.xml @@ -49,7 +49,7 @@ - + @@ -60,7 +60,7 @@ - + diff --git a/lab3/db/gA6_lab3.cmp.bpm b/lab3/db/gA6_lab3.cmp.bpm index 4c4c2bc..06d518f 100644 Binary files a/lab3/db/gA6_lab3.cmp.bpm and b/lab3/db/gA6_lab3.cmp.bpm differ diff --git a/lab3/db/gA6_lab3.cmp.cdb b/lab3/db/gA6_lab3.cmp.cdb index df4065e..31cc8df 100644 Binary files a/lab3/db/gA6_lab3.cmp.cdb and b/lab3/db/gA6_lab3.cmp.cdb differ diff --git a/lab3/db/gA6_lab3.cmp.hdb b/lab3/db/gA6_lab3.cmp.hdb index 874afde..7c1ab87 100644 Binary files a/lab3/db/gA6_lab3.cmp.hdb and b/lab3/db/gA6_lab3.cmp.hdb differ diff --git a/lab3/db/gA6_lab3.cmp.idb b/lab3/db/gA6_lab3.cmp.idb index 4264c32..934c688 100644 Binary files a/lab3/db/gA6_lab3.cmp.idb and b/lab3/db/gA6_lab3.cmp.idb differ diff --git a/lab3/db/gA6_lab3.cmp.rdb b/lab3/db/gA6_lab3.cmp.rdb index 6ca3ea2..947af21 100644 Binary files a/lab3/db/gA6_lab3.cmp.rdb and b/lab3/db/gA6_lab3.cmp.rdb differ diff --git a/lab3/db/gA6_lab3.cmp0.ddb b/lab3/db/gA6_lab3.cmp0.ddb index 72f0747..41b81be 100644 Binary files a/lab3/db/gA6_lab3.cmp0.ddb and b/lab3/db/gA6_lab3.cmp0.ddb differ diff --git a/lab3/db/gA6_lab3.cmp1.ddb b/lab3/db/gA6_lab3.cmp1.ddb index 27ebe51..b3bce75 100644 Binary files a/lab3/db/gA6_lab3.cmp1.ddb and b/lab3/db/gA6_lab3.cmp1.ddb differ diff --git a/lab3/db/gA6_lab3.cmp2.ddb b/lab3/db/gA6_lab3.cmp2.ddb index 27dfd61..b9e8682 100644 Binary files a/lab3/db/gA6_lab3.cmp2.ddb and b/lab3/db/gA6_lab3.cmp2.ddb differ diff --git a/lab3/db/gA6_lab3.db_info b/lab3/db/gA6_lab3.db_info index 8aa40b5..11bd799 100644 --- a/lab3/db/gA6_lab3.db_info +++ b/lab3/db/gA6_lab3.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 13.0.0 Build 156 04/24/2013 SJ Web Edition Version_Index = 302029824 -Creation_Time = Wed Nov 01 18:53:20 2017 +Creation_Time = Thu Nov 02 00:57:06 2017 diff --git a/lab3/db/gA6_lab3.eda.qmsg b/lab3/db/gA6_lab3.eda.qmsg index 8ab947f..cfcf637 100644 --- a/lab3/db/gA6_lab3.eda.qmsg +++ b/lab3/db/gA6_lab3.eda.qmsg @@ -1,5 +1,5 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1509590934639 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus II 64-Bit " "Running Quartus II 64-Bit EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition " "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1509590934639 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Nov 01 22:48:54 2017 " "Processing started: Wed Nov 01 22:48:54 2017" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1509590934639 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1509590934639 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off gA6_lab3 -c gA6_lab3 " "Command: quartus_eda --read_settings_files=off --write_settings_files=off gA6_lab3 -c gA6_lab3" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1509590934639 ""} -{ "Info" "IWSC_DONE_HDL_GENERATION" "gA6_lab3.vo C:/home/abbas/dsd_A6/lab3/simulation/modelsim/ simulation " "Generated file gA6_lab3.vo in folder \"C:/home/abbas/dsd_A6/lab3/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "Quartus II" 0 -1 1509590935452 ""} -{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit EDA Netlist Writer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "483 " "Peak virtual memory: 483 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1509590935733 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Nov 01 22:48:55 2017 " "Processing ended: Wed Nov 01 22:48:55 2017" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1509590935733 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1509590935733 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1509590935733 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1509590935733 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1509605736512 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus II 64-Bit " "Running Quartus II 64-Bit EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition " "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1509605736513 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Nov 02 02:55:36 2017 " "Processing started: Thu Nov 02 02:55:36 2017" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1509605736513 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1509605736513 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off gA6_lab3 -c gA6_lab3 " "Command: quartus_eda --read_settings_files=off --write_settings_files=off gA6_lab3 -c gA6_lab3" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1509605736513 ""} +{ "Info" "IWSC_DONE_HDL_GENERATION" "gA6_lab3.vo C:/home/abbas/dsd_A6/lab3/simulation/modelsim/ simulation " "Generated file gA6_lab3.vo in folder \"C:/home/abbas/dsd_A6/lab3/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "Quartus II" 0 -1 1509605738936 ""} +{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit EDA Netlist Writer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "484 " "Peak virtual memory: 484 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1509605739629 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Nov 02 02:55:39 2017 " "Processing ended: Thu Nov 02 02:55:39 2017" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1509605739629 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1509605739629 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1509605739629 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1509605739629 ""} diff --git a/lab3/db/gA6_lab3.fit.qmsg b/lab3/db/gA6_lab3.fit.qmsg index 7bcc248..f906ef8 100644 --- a/lab3/db/gA6_lab3.fit.qmsg +++ b/lab3/db/gA6_lab3.fit.qmsg @@ -1,54 +1,54 @@ -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS_MORE_LOGICAL" "2 2 4 " "Parallel Compilation has detected 4 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 2 of the 2 physical processors detected instead." { } { } 0 11104 "Parallel Compilation has detected %3!i! hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use %1!i! of the %2!i! physical processors detected instead." 0 0 "Fitter" 0 -1 1509590917175 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "gA6_lab3 EP2C20F484C7 " "Selected device EP2C20F484C7 for design \"gA6_lab3\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1509590917206 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1509590917253 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1509590917253 ""} -{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1509590917378 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1509590917394 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C15AF484C7 " "Device EP2C15AF484C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1509590917847 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C35F484C7 " "Device EP2C35F484C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1509590917847 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C50F484C7 " "Device EP2C50F484C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1509590917847 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1509590917847 ""} -{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "3 " "Fitter converted 3 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ASDO~ C4 " "Pin ~ASDO~ is reserved at location C4" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { ~ASDO~ } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~ASDO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 5465 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1509590917847 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~nCSO~ C3 " "Pin ~nCSO~ is reserved at location C3" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { ~nCSO~ } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~nCSO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 5466 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1509590917847 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~LVDS91p/nCEO~ W20 " "Pin ~LVDS91p/nCEO~ is reserved at location W20" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { ~LVDS91p/nCEO~ } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~LVDS91p/nCEO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 5467 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1509590917847 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1509590917847 ""} -{ "Info" "IFSAC_FSAC_RAM_METASTABILITY_INFO" "" "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." { } { } 0 176045 "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." 0 0 "Fitter" 0 -1 1509590917863 ""} -{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "12 54 " "No exact pin location assignment(s) for 12 pins of 54 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "num\[5\] " "Pin num\[5\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { num[5] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "num\[5\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 192 320 496 208 "num" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { num[5] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 371 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509590917988 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "num\[4\] " "Pin num\[4\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { num[4] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "num\[4\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 192 320 496 208 "num" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { num[4] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 372 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509590917988 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "num\[3\] " "Pin num\[3\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { num[3] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "num\[3\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 192 320 496 208 "num" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { num[3] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 373 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509590917988 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "num\[2\] " "Pin num\[2\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { num[2] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "num\[2\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 192 320 496 208 "num" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { num[2] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 374 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509590917988 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "num\[1\] " "Pin num\[1\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { num[1] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "num\[1\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 192 320 496 208 "num" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { num[1] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 375 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509590917988 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "num\[0\] " "Pin num\[0\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { num[0] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "num\[0\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 192 320 496 208 "num" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { num[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 376 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509590917988 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[5\] " "Pin value\[5\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { value[5] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "value\[5\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 216 320 496 232 "value" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[5] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 377 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509590917988 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[4\] " "Pin value\[4\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { value[4] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "value\[4\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 216 320 496 232 "value" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[4] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 378 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509590917988 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[3\] " "Pin value\[3\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { value[3] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "value\[3\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 216 320 496 232 "value" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[3] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 379 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509590917988 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[2\] " "Pin value\[2\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { value[2] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "value\[2\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 216 320 496 232 "value" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[2] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 380 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509590917988 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[1\] " "Pin value\[1\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { value[1] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "value\[1\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 216 320 496 232 "value" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[1] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 381 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509590917988 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[0\] " "Pin value\[0\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { value[0] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "value\[0\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 216 320 496 232 "value" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 382 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509590917988 ""} } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "Fitter" 0 -1 1509590917988 ""} -{ "Info" "ISTA_SDC_STATEMENT_PARENT" "" "Evaluating HDL-embedded SDC commands" { { "Info" "ISTA_SDC_STATEMENT_ENTITY" "sld_jtag_hub " "Entity sld_jtag_hub" { { "Info" "ISTA_SDC_STATEMENT_EVAL" "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " { } { } 0 332166 "%1!s!" 0 0 "Quartus II" 0 -1 1509590918253 ""} { "Info" "ISTA_SDC_STATEMENT_EVAL" "set_clock_groups -asynchronous -group \{altera_reserved_tck\} " "set_clock_groups -asynchronous -group \{altera_reserved_tck\}" { } { } 0 332166 "%1!s!" 0 0 "Quartus II" 0 -1 1509590918253 ""} } { } 0 332165 "Entity %1!s!" 0 0 "Quartus II" 0 -1 1509590918253 ""} } { } 0 332164 "Evaluating HDL-embedded SDC commands" 0 0 "Fitter" 0 -1 1509590918253 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "gA6_lab3.sdc " "Synopsys Design Constraints File file not found: 'gA6_lab3.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1509590918269 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "clk " "Node: clk was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1509590918284 "|gA6_lab3|clk"} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1509590918300 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1509590918300 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1509590918300 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 100.000 altera_reserved_tck " " 100.000 altera_reserved_tck" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1509590918300 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1509590918300 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "clk (placed in PIN L1 (CLK0, LVDSCLK0p, Input)) " "Automatically promoted node clk (placed in PIN L1 (CLK0, LVDSCLK0p, Input))" { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock CLKCTRL_G2 " "Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G2" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1509590918472 ""} } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { clk } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "clk" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 120 112 280 136 "clk" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { clk } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 386 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1509590918472 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "altera_internal_jtag~TCKUTAP " "Automatically promoted node altera_internal_jtag~TCKUTAP " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1509590918472 ""} } { { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { altera_internal_jtag~TDO } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 2652 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1509590918472 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "gA6_testbed:inst\|lpm_compare:inst11\|cmpr_6cg:auto_generated\|aneb_result_wire\[0\] " "Automatically promoted node gA6_testbed:inst\|lpm_compare:inst11\|cmpr_6cg:auto_generated\|aneb_result_wire\[0\] " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1509590918472 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS" "" "Following destination nodes may be non-global or may not use global or regional clocks" { { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[5\]~0 " "Destination node gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[5\]~0" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 69 19 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|counter_reg_bit1a[5]~0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1891 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509590918472 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[4\]~2 " "Destination node gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[4\]~2" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 69 19 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|counter_reg_bit1a[4]~2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1895 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509590918472 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[2\]~3 " "Destination node gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[2\]~3" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 69 19 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|counter_reg_bit1a[2]~3 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1896 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509590918472 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[3\]~4 " "Destination node gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[3\]~4" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 69 19 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|counter_reg_bit1a[3]~4 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1897 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509590918472 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[1\]~5 " "Destination node gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[1\]~5" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 69 19 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|counter_reg_bit1a[1]~5 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1898 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509590918472 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[0\]~6 " "Destination node gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[0\]~6" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 69 19 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|counter_reg_bit1a[0]~6 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1899 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509590918472 ""} } { } 0 176356 "Following destination nodes may be non-global or may not use global or regional clocks" 0 0 "Quartus II" 0 -1 1509590918472 ""} } { { "db/cmpr_6cg.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_6cg.tdf" 30 18 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|lpm_compare:inst11|cmpr_6cg:auto_generated|aneb_result_wire[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1247 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1509590918472 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "sld_signaltap:auto_signaltap_0\|sld_signaltap_impl:sld_signaltap_body\|sld_signaltap_implb:sld_signaltap_body\|reset_all " "Automatically promoted node sld_signaltap:auto_signaltap_0\|sld_signaltap_impl:sld_signaltap_body\|sld_signaltap_implb:sld_signaltap_body\|reset_all " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1509590918472 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS" "" "Following destination nodes may be non-global or may not use global or regional clocks" { { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "sld_signaltap:auto_signaltap_0\|sld_signaltap_impl:sld_signaltap_body\|sld_signaltap_implb:sld_signaltap_body\|sld_offload_buffer_mgr:\\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst\|acq_buf_read_reset~6 " "Destination node sld_signaltap:auto_signaltap_0\|sld_signaltap_impl:sld_signaltap_body\|sld_signaltap_implb:sld_signaltap_body\|sld_offload_buffer_mgr:\\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst\|acq_buf_read_reset~6" { } { { "sld_buffer_manager.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_buffer_manager.vhd" 627 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~6 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 4420 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509590918472 ""} } { } 0 176356 "Following destination nodes may be non-global or may not use global or regional clocks" 0 0 "Quartus II" 0 -1 1509590918472 ""} } { { "sld_signaltap_impl.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_signaltap_impl.vhd" 842 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|reset_all } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 3776 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1509590918472 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|clr_reg " "Automatically promoted node sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|clr_reg " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1509590918472 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS" "" "Following destination nodes may be non-global or may not use global or regional clocks" { { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|clr_reg~_wirecell " "Destination node sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|clr_reg~_wirecell" { } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 373 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|clr_reg~_wirecell } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 2967 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509590918472 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "sld_signaltap:auto_signaltap_0\|sld_signaltap_impl:sld_signaltap_body\|sld_signaltap_implb:sld_signaltap_body\|reset_all~0 " "Destination node sld_signaltap:auto_signaltap_0\|sld_signaltap_impl:sld_signaltap_body\|sld_signaltap_implb:sld_signaltap_body\|reset_all~0" { } { { "sld_signaltap_impl.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_signaltap_impl.vhd" 842 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|reset_all~0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 4308 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509590918472 ""} } { } 0 176356 "Following destination nodes may be non-global or may not use global or regional clocks" 0 0 "Quartus II" 0 -1 1509590918472 ""} } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 373 -1 0 } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|clr_reg" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|clr_reg } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 2767 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1509590918472 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state\[0\] " "Automatically promoted node sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state\[0\] " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1509590918472 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS" "" "Following destination nodes may be non-global or may not use global or regional clocks" { { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state~0 " "Destination node sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state~0" { } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 1127 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state~0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 2870 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509590918472 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state~1 " "Destination node sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state~1" { } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 1127 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state~1 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 2871 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509590918472 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state\[0\]~_wirecell " "Destination node sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state\[0\]~_wirecell" { } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 1141 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state[0]~_wirecell } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 2968 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509590918472 ""} } { } 0 176356 "Following destination nodes may be non-global or may not use global or regional clocks" 0 0 "Quartus II" 0 -1 1509590918472 ""} } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 1141 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 2676 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1509590918472 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1509590918769 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1509590918769 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1509590918769 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1509590918784 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1509590918784 ""} -{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1509590918784 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1509590918784 ""} -{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1509590918784 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1509590918800 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Quartus II" 0 -1 1509590918800 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1509590918800 ""} -{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "12 unused 3.3V 0 12 0 " "Number of I/O pins in group: 12 (unused VREF, 3.3V VCCIO, 0 input, 12 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "I/O standards used: 3.3-V LVTTL." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Quartus II" 0 -1 1509590918816 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Quartus II" 0 -1 1509590918816 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1509590918816 ""} -{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 1 40 " "I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 40 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509590918816 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use 3.3V 36 1 " "I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 36 total pin(s) used -- 1 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509590918816 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 43 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509590918816 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 40 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509590918816 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 2 37 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 37 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509590918816 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use 3.3V 6 30 " "I/O bank number 6 does not use VREF pins and has 3.3V VCCIO pins. 6 total pin(s) used -- 30 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509590918816 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 2 38 " "I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 38 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509590918816 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 2 41 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 41 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509590918816 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Quartus II" 0 -1 1509590918816 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1509590918816 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1509590918878 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1509590919816 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:01 " "Fitter placement preparation operations ending: elapsed time is 00:00:01" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1509590920519 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1509590920535 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1509590921160 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1509590921160 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1509590921472 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "2 " "Router estimated average interconnect usage is 2% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "7 X25_Y14 X37_Y27 " "Router estimated peak interconnect usage is 7% of the available device resources in the region that extends from location X25_Y14 to location X37_Y27" { } { { "loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 1 { 0 "Router estimated peak interconnect usage is 7% of the available device resources in the region that extends from location X25_Y14 to location X37_Y27"} { { 11 { 0 "Router estimated peak interconnect usage is 7% of the available device resources in the region that extends from location X25_Y14 to location X37_Y27"} 25 14 13 14 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1509590924017 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1509590924017 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:02 " "Fitter routing operations ending: elapsed time is 00:00:02" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1509590924298 ""} -{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Quartus II" 0 -1 1509590924298 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Quartus II" 0 -1 1509590924298 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1509590924298 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.36 " "Total time spent on timing analysis during the Fitter is 0.36 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1509590924376 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1509590924376 ""} -{ "Warning" "WDAT_NO_LOADING_SPECIFIED_ONE_OR_MORE_PINS" "42 " "Found 42 output pins without output pin load capacitance assignment" { { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "empty 0 " "Pin \"empty\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "full 0 " "Pin \"full\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[6\] 0 " "Pin \"led_empty\[6\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[5\] 0 " "Pin \"led_empty\[5\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[4\] 0 " "Pin \"led_empty\[4\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[3\] 0 " "Pin \"led_empty\[3\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[2\] 0 " "Pin \"led_empty\[2\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[1\] 0 " "Pin \"led_empty\[1\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[0\] 0 " "Pin \"led_empty\[0\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[6\] 0 " "Pin \"led_floor\[6\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[5\] 0 " "Pin \"led_floor\[5\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[4\] 0 " "Pin \"led_floor\[4\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[3\] 0 " "Pin \"led_floor\[3\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[2\] 0 " "Pin \"led_floor\[2\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[1\] 0 " "Pin \"led_floor\[1\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[0\] 0 " "Pin \"led_floor\[0\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[6\] 0 " "Pin \"led_mod\[6\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[5\] 0 " "Pin \"led_mod\[5\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[4\] 0 " "Pin \"led_mod\[4\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[3\] 0 " "Pin \"led_mod\[3\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[2\] 0 " "Pin \"led_mod\[2\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[1\] 0 " "Pin \"led_mod\[1\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[0\] 0 " "Pin \"led_mod\[0\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[6\] 0 " "Pin \"led_mode\[6\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[5\] 0 " "Pin \"led_mode\[5\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[4\] 0 " "Pin \"led_mode\[4\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[3\] 0 " "Pin \"led_mode\[3\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[2\] 0 " "Pin \"led_mode\[2\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[1\] 0 " "Pin \"led_mode\[1\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[0\] 0 " "Pin \"led_mode\[0\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "num\[5\] 0 " "Pin \"num\[5\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "num\[4\] 0 " "Pin \"num\[4\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "num\[3\] 0 " "Pin \"num\[3\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "num\[2\] 0 " "Pin \"num\[2\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "num\[1\] 0 " "Pin \"num\[1\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "num\[0\] 0 " "Pin \"num\[0\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[5\] 0 " "Pin \"value\[5\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[4\] 0 " "Pin \"value\[4\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[3\] 0 " "Pin \"value\[3\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[2\] 0 " "Pin \"value\[2\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[1\] 0 " "Pin \"value\[1\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[0\] 0 " "Pin \"value\[0\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590924454 ""} } { } 0 306006 "Found %1!d! output pins without output pin load capacitance assignment" 0 0 "Fitter" 0 -1 1509590924454 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1509590924861 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1509590925017 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1509590925454 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:01 " "Fitter post-fit operations ending: elapsed time is 00:00:01" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1509590925752 ""} -{ "Info" "IFSAC_FSAC_RAM_METASTABILITY_INFO" "" "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." { } { } 0 176045 "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." 0 0 "Fitter" 0 -1 1509590925783 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1509590925892 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/home/abbas/dsd_A6/lab3/output_files/gA6_lab3.fit.smsg " "Generated suppressed messages file C:/home/abbas/dsd_A6/lab3/output_files/gA6_lab3.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1509590926236 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 8 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 8 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "971 " "Peak virtual memory: 971 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1509590927064 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Nov 01 22:48:47 2017 " "Processing ended: Wed Nov 01 22:48:47 2017" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1509590927064 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:11 " "Elapsed time: 00:00:11" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1509590927064 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:12 " "Total CPU time (on all processors): 00:00:12" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1509590927064 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1509590927064 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS_MORE_LOGICAL" "2 2 4 " "Parallel Compilation has detected 4 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 2 of the 2 physical processors detected instead." { } { } 0 11104 "Parallel Compilation has detected %3!i! hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use %1!i! of the %2!i! physical processors detected instead." 0 0 "Fitter" 0 -1 1509605689682 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "gA6_lab3 EP2C20F484C7 " "Selected device EP2C20F484C7 for design \"gA6_lab3\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1509605689740 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1509605689813 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1509605689813 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1509605691128 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1509605691150 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C15AF484C7 " "Device EP2C15AF484C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1509605692496 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C35F484C7 " "Device EP2C35F484C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1509605692496 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C50F484C7 " "Device EP2C50F484C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1509605692496 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1509605692496 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "3 " "Fitter converted 3 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ASDO~ C4 " "Pin ~ASDO~ is reserved at location C4" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { ~ASDO~ } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~ASDO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 5507 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1509605692508 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~nCSO~ C3 " "Pin ~nCSO~ is reserved at location C3" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { ~nCSO~ } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~nCSO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 5508 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1509605692508 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~LVDS91p/nCEO~ W20 " "Pin ~LVDS91p/nCEO~ is reserved at location W20" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { ~LVDS91p/nCEO~ } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~LVDS91p/nCEO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 5509 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1509605692508 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1509605692508 ""} +{ "Info" "IFSAC_FSAC_RAM_METASTABILITY_INFO" "" "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." { } { } 0 176045 "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." 0 0 "Fitter" 0 -1 1509605692523 ""} +{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "12 54 " "No exact pin location assignment(s) for 12 pins of 54 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "num\[5\] " "Pin num\[5\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { num[5] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "num\[5\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 192 320 496 208 "num" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { num[5] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 371 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509605692835 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "num\[4\] " "Pin num\[4\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { num[4] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "num\[4\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 192 320 496 208 "num" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { num[4] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 372 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509605692835 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "num\[3\] " "Pin num\[3\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { num[3] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "num\[3\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 192 320 496 208 "num" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { num[3] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 373 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509605692835 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "num\[2\] " "Pin num\[2\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { num[2] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "num\[2\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 192 320 496 208 "num" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { num[2] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 374 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509605692835 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "num\[1\] " "Pin num\[1\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { num[1] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "num\[1\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 192 320 496 208 "num" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { num[1] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 375 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509605692835 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "num\[0\] " "Pin num\[0\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { num[0] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "num\[0\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 192 320 496 208 "num" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { num[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 376 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509605692835 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[5\] " "Pin value\[5\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { value[5] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "value\[5\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 216 320 496 232 "value" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[5] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 377 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509605692835 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[4\] " "Pin value\[4\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { value[4] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "value\[4\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 216 320 496 232 "value" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[4] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 378 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509605692835 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[3\] " "Pin value\[3\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { value[3] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "value\[3\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 216 320 496 232 "value" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[3] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 379 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509605692835 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[2\] " "Pin value\[2\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { value[2] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "value\[2\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 216 320 496 232 "value" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[2] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 380 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509605692835 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[1\] " "Pin value\[1\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { value[1] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "value\[1\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 216 320 496 232 "value" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[1] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 381 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509605692835 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[0\] " "Pin value\[0\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { value[0] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "value\[0\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 216 320 496 232 "value" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 382 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509605692835 ""} } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "Fitter" 0 -1 1509605692835 ""} +{ "Info" "ISTA_SDC_STATEMENT_PARENT" "" "Evaluating HDL-embedded SDC commands" { { "Info" "ISTA_SDC_STATEMENT_ENTITY" "sld_jtag_hub " "Entity sld_jtag_hub" { { "Info" "ISTA_SDC_STATEMENT_EVAL" "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " { } { } 0 332166 "%1!s!" 0 0 "Quartus II" 0 -1 1509605693618 ""} { "Info" "ISTA_SDC_STATEMENT_EVAL" "set_clock_groups -asynchronous -group \{altera_reserved_tck\} " "set_clock_groups -asynchronous -group \{altera_reserved_tck\}" { } { } 0 332166 "%1!s!" 0 0 "Quartus II" 0 -1 1509605693618 ""} } { } 0 332165 "Entity %1!s!" 0 0 "Quartus II" 0 -1 1509605693618 ""} } { } 0 332164 "Evaluating HDL-embedded SDC commands" 0 0 "Fitter" 0 -1 1509605693618 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "gA6_lab3.sdc " "Synopsys Design Constraints File file not found: 'gA6_lab3.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1509605693663 ""} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "clk " "Node: clk was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1509605693682 "|gA6_lab3|clk"} +{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1509605693761 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1509605693762 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1509605693762 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 100.000 altera_reserved_tck " " 100.000 altera_reserved_tck" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1509605693762 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1509605693762 ""} +{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "clk (placed in PIN L1 (CLK0, LVDSCLK0p, Input)) " "Automatically promoted node clk (placed in PIN L1 (CLK0, LVDSCLK0p, Input))" { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock CLKCTRL_G2 " "Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G2" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1509605694186 ""} } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { clk } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "clk" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 120 112 280 136 "clk" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { clk } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 386 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1509605694186 ""} +{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "altera_internal_jtag~TCKUTAP " "Automatically promoted node altera_internal_jtag~TCKUTAP " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1509605694186 ""} } { { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { altera_internal_jtag~TDO } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 2692 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1509605694186 ""} +{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "gA6_testbed:inst\|lpm_compare:inst11\|cmpr_6cg:auto_generated\|aneb_result_wire\[0\] " "Automatically promoted node gA6_testbed:inst\|lpm_compare:inst11\|cmpr_6cg:auto_generated\|aneb_result_wire\[0\] " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1509605694187 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS" "" "Following destination nodes may be non-global or may not use global or regional clocks" { { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[5\]~0 " "Destination node gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[5\]~0" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 69 19 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|counter_reg_bit1a[5]~0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1891 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509605694187 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[4\]~2 " "Destination node gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[4\]~2" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 69 19 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|counter_reg_bit1a[4]~2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1896 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509605694187 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[2\]~3 " "Destination node gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[2\]~3" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 69 19 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|counter_reg_bit1a[2]~3 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1897 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509605694187 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[3\]~4 " "Destination node gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[3\]~4" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 69 19 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|counter_reg_bit1a[3]~4 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1898 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509605694187 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[1\]~5 " "Destination node gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[1\]~5" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 69 19 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|counter_reg_bit1a[1]~5 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1899 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509605694187 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[0\]~6 " "Destination node gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[0\]~6" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 69 19 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|counter_reg_bit1a[0]~6 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1900 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509605694187 ""} } { } 0 176356 "Following destination nodes may be non-global or may not use global or regional clocks" 0 0 "Quartus II" 0 -1 1509605694187 ""} } { { "db/cmpr_6cg.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_6cg.tdf" 30 18 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|lpm_compare:inst11|cmpr_6cg:auto_generated|aneb_result_wire[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1247 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1509605694187 ""} +{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "sld_signaltap:auto_signaltap_0\|sld_signaltap_impl:sld_signaltap_body\|sld_signaltap_implb:sld_signaltap_body\|reset_all " "Automatically promoted node sld_signaltap:auto_signaltap_0\|sld_signaltap_impl:sld_signaltap_body\|sld_signaltap_implb:sld_signaltap_body\|reset_all " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1509605694188 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS" "" "Following destination nodes may be non-global or may not use global or regional clocks" { { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "sld_signaltap:auto_signaltap_0\|sld_signaltap_impl:sld_signaltap_body\|sld_signaltap_implb:sld_signaltap_body\|sld_offload_buffer_mgr:\\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst\|acq_buf_read_reset~6 " "Destination node sld_signaltap:auto_signaltap_0\|sld_signaltap_impl:sld_signaltap_body\|sld_signaltap_implb:sld_signaltap_body\|sld_offload_buffer_mgr:\\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst\|acq_buf_read_reset~6" { } { { "sld_buffer_manager.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_buffer_manager.vhd" 627 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~6 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 4462 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509605694188 ""} } { } 0 176356 "Following destination nodes may be non-global or may not use global or regional clocks" 0 0 "Quartus II" 0 -1 1509605694188 ""} } { { "sld_signaltap_impl.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_signaltap_impl.vhd" 842 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|reset_all } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 3818 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1509605694188 ""} +{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|clr_reg " "Automatically promoted node sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|clr_reg " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1509605694191 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS" "" "Following destination nodes may be non-global or may not use global or regional clocks" { { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|clr_reg~_wirecell " "Destination node sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|clr_reg~_wirecell" { } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 373 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|clr_reg~_wirecell } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 3009 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509605694191 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "sld_signaltap:auto_signaltap_0\|sld_signaltap_impl:sld_signaltap_body\|sld_signaltap_implb:sld_signaltap_body\|reset_all~0 " "Destination node sld_signaltap:auto_signaltap_0\|sld_signaltap_impl:sld_signaltap_body\|sld_signaltap_implb:sld_signaltap_body\|reset_all~0" { } { { "sld_signaltap_impl.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_signaltap_impl.vhd" 842 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|reset_all~0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 4350 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509605694191 ""} } { } 0 176356 "Following destination nodes may be non-global or may not use global or regional clocks" 0 0 "Quartus II" 0 -1 1509605694191 ""} } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 373 -1 0 } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|clr_reg" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|clr_reg } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 2807 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1509605694191 ""} +{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state\[0\] " "Automatically promoted node sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state\[0\] " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1509605694193 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS" "" "Following destination nodes may be non-global or may not use global or regional clocks" { { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state~0 " "Destination node sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state~0" { } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 1127 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state~0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 2910 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509605694193 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state~1 " "Destination node sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state~1" { } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 1127 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state~1 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 2911 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509605694193 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state\[0\]~_wirecell " "Destination node sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state\[0\]~_wirecell" { } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 1141 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state[0]~_wirecell } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 3010 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509605694193 ""} } { } 0 176356 "Following destination nodes may be non-global or may not use global or regional clocks" 0 0 "Quartus II" 0 -1 1509605694193 ""} } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 1141 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 2716 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1509605694193 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1509605695045 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1509605695052 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1509605695052 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1509605695070 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1509605695095 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1509605695105 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1509605695106 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1509605695120 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1509605695142 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Quartus II" 0 -1 1509605695153 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1509605695153 ""} +{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "12 unused 3.3V 0 12 0 " "Number of I/O pins in group: 12 (unused VREF, 3.3V VCCIO, 0 input, 12 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "I/O standards used: 3.3-V LVTTL." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Quartus II" 0 -1 1509605695189 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Quartus II" 0 -1 1509605695189 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1509605695189 ""} +{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 1 40 " "I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 40 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509605695201 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use 3.3V 36 1 " "I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 36 total pin(s) used -- 1 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509605695201 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 43 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509605695201 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 40 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509605695201 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 2 37 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 37 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509605695201 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use 3.3V 6 30 " "I/O bank number 6 does not use VREF pins and has 3.3V VCCIO pins. 6 total pin(s) used -- 30 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509605695201 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 2 38 " "I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 38 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509605695201 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 2 41 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 41 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509605695201 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Quartus II" 0 -1 1509605695201 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1509605695201 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:03 " "Fitter preparation operations ending: elapsed time is 00:00:03" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1509605695368 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1509605697829 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:02 " "Fitter placement preparation operations ending: elapsed time is 00:00:02" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1509605699677 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1509605699759 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1509605701279 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:02 " "Fitter placement operations ending: elapsed time is 00:00:02" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1509605701279 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1509605702104 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "2 " "Router estimated average interconnect usage is 2% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "5 X25_Y14 X37_Y27 " "Router estimated peak interconnect usage is 5% of the available device resources in the region that extends from location X25_Y14 to location X37_Y27" { } { { "loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 1 { 0 "Router estimated peak interconnect usage is 5% of the available device resources in the region that extends from location X25_Y14 to location X37_Y27"} { { 11 { 0 "Router estimated peak interconnect usage is 5% of the available device resources in the region that extends from location X25_Y14 to location X37_Y27"} 25 14 13 14 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1509605707675 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1509605707675 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:05 " "Fitter routing operations ending: elapsed time is 00:00:05" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1509605708378 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Quartus II" 0 -1 1509605708385 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Quartus II" 0 -1 1509605708385 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1509605708385 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.97 " "Total time spent on timing analysis during the Fitter is 0.97 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1509605708913 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1509605708970 ""} +{ "Warning" "WDAT_NO_LOADING_SPECIFIED_ONE_OR_MORE_PINS" "42 " "Found 42 output pins without output pin load capacitance assignment" { { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "empty 0 " "Pin \"empty\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "full 0 " "Pin \"full\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[6\] 0 " "Pin \"led_empty\[6\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[5\] 0 " "Pin \"led_empty\[5\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[4\] 0 " "Pin \"led_empty\[4\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[3\] 0 " "Pin \"led_empty\[3\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[2\] 0 " "Pin \"led_empty\[2\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[1\] 0 " "Pin \"led_empty\[1\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[0\] 0 " "Pin \"led_empty\[0\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[6\] 0 " "Pin \"led_floor\[6\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[5\] 0 " "Pin \"led_floor\[5\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[4\] 0 " "Pin \"led_floor\[4\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[3\] 0 " "Pin \"led_floor\[3\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[2\] 0 " "Pin \"led_floor\[2\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[1\] 0 " "Pin \"led_floor\[1\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[0\] 0 " "Pin \"led_floor\[0\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[6\] 0 " "Pin \"led_mod\[6\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[5\] 0 " "Pin \"led_mod\[5\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[4\] 0 " "Pin \"led_mod\[4\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[3\] 0 " "Pin \"led_mod\[3\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[2\] 0 " "Pin \"led_mod\[2\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[1\] 0 " "Pin \"led_mod\[1\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[0\] 0 " "Pin \"led_mod\[0\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[6\] 0 " "Pin \"led_mode\[6\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[5\] 0 " "Pin \"led_mode\[5\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[4\] 0 " "Pin \"led_mode\[4\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[3\] 0 " "Pin \"led_mode\[3\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[2\] 0 " "Pin \"led_mode\[2\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[1\] 0 " "Pin \"led_mode\[1\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[0\] 0 " "Pin \"led_mode\[0\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "num\[5\] 0 " "Pin \"num\[5\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "num\[4\] 0 " "Pin \"num\[4\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "num\[3\] 0 " "Pin \"num\[3\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "num\[2\] 0 " "Pin \"num\[2\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "num\[1\] 0 " "Pin \"num\[1\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "num\[0\] 0 " "Pin \"num\[0\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[5\] 0 " "Pin \"value\[5\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[4\] 0 " "Pin \"value\[4\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[3\] 0 " "Pin \"value\[3\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[2\] 0 " "Pin \"value\[2\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[1\] 0 " "Pin \"value\[1\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[0\] 0 " "Pin \"value\[0\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509605709141 ""} } { } 0 306006 "Found %1!d! output pins without output pin load capacitance assignment" 0 0 "Fitter" 0 -1 1509605709141 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1509605710358 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1509605710767 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1509605711915 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:04 " "Fitter post-fit operations ending: elapsed time is 00:00:04" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1509605712729 ""} +{ "Info" "IFSAC_FSAC_RAM_METASTABILITY_INFO" "" "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." { } { } 0 176045 "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." 0 0 "Fitter" 0 -1 1509605712851 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1509605713197 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/home/abbas/dsd_A6/lab3/output_files/gA6_lab3.fit.smsg " "Generated suppressed messages file C:/home/abbas/dsd_A6/lab3/output_files/gA6_lab3.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1509605714516 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 8 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 8 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "974 " "Peak virtual memory: 974 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1509605717335 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Nov 02 02:55:17 2017 " "Processing ended: Thu Nov 02 02:55:17 2017" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1509605717335 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:31 " "Elapsed time: 00:00:31" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1509605717335 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:28 " "Total CPU time (on all processors): 00:00:28" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1509605717335 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1509605717335 ""} diff --git a/lab3/db/gA6_lab3.hier_info b/lab3/db/gA6_lab3.hier_info index ae6b15a..e61ab8d 100644 --- a/lab3/db/gA6_lab3.hier_info +++ b/lab3/db/gA6_lab3.hier_info @@ -4886,58 +4886,97 @@ result[5] <= |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124 -en_out[0] <= inst.DB_MAX_OUTPUT_PORT_TYPE -en_out[1] <= inst1.DB_MAX_OUTPUT_PORT_TYPE -en_out[2] <= inst2.DB_MAX_OUTPUT_PORT_TYPE -en_out[3] <= inst3.DB_MAX_OUTPUT_PORT_TYPE -en_out[4] <= inst4.DB_MAX_OUTPUT_PORT_TYPE -en_out[5] <= inst5.DB_MAX_OUTPUT_PORT_TYPE -en_out[6] <= inst6.DB_MAX_OUTPUT_PORT_TYPE -en_out[7] <= inst7.DB_MAX_OUTPUT_PORT_TYPE -en_out[8] <= inst8.DB_MAX_OUTPUT_PORT_TYPE -en_out[9] <= inst9.DB_MAX_OUTPUT_PORT_TYPE -en_out[10] <= inst10.DB_MAX_OUTPUT_PORT_TYPE -en_out[11] <= inst11.DB_MAX_OUTPUT_PORT_TYPE -en_out[12] <= inst12.DB_MAX_OUTPUT_PORT_TYPE -en_out[13] <= inst13.DB_MAX_OUTPUT_PORT_TYPE -en_out[14] <= inst14.DB_MAX_OUTPUT_PORT_TYPE -en_out[15] <= inst15.DB_MAX_OUTPUT_PORT_TYPE -en_out[16] <= inst16.DB_MAX_OUTPUT_PORT_TYPE -en_out[17] <= inst17.DB_MAX_OUTPUT_PORT_TYPE -en_out[18] <= inst18.DB_MAX_OUTPUT_PORT_TYPE -en_out[19] <= inst19.DB_MAX_OUTPUT_PORT_TYPE -en_out[20] <= inst20.DB_MAX_OUTPUT_PORT_TYPE -en_out[21] <= inst21.DB_MAX_OUTPUT_PORT_TYPE -en_out[22] <= inst22.DB_MAX_OUTPUT_PORT_TYPE -en_out[23] <= inst23.DB_MAX_OUTPUT_PORT_TYPE -en_out[24] <= inst24.DB_MAX_OUTPUT_PORT_TYPE -en_out[25] <= inst25.DB_MAX_OUTPUT_PORT_TYPE -en_out[26] <= inst26.DB_MAX_OUTPUT_PORT_TYPE -en_out[27] <= inst27.DB_MAX_OUTPUT_PORT_TYPE -en_out[28] <= inst28.DB_MAX_OUTPUT_PORT_TYPE -en_out[29] <= inst29.DB_MAX_OUTPUT_PORT_TYPE -en_out[30] <= inst30.DB_MAX_OUTPUT_PORT_TYPE -en_out[31] <= inst31.DB_MAX_OUTPUT_PORT_TYPE -en_out[32] <= inst32.DB_MAX_OUTPUT_PORT_TYPE -en_out[33] <= inst33.DB_MAX_OUTPUT_PORT_TYPE -en_out[34] <= inst34.DB_MAX_OUTPUT_PORT_TYPE -en_out[35] <= inst35.DB_MAX_OUTPUT_PORT_TYPE -en_out[36] <= inst36.DB_MAX_OUTPUT_PORT_TYPE -en_out[37] <= inst37.DB_MAX_OUTPUT_PORT_TYPE -en_out[38] <= inst38.DB_MAX_OUTPUT_PORT_TYPE -en_out[39] <= inst39.DB_MAX_OUTPUT_PORT_TYPE -en_out[40] <= inst40.DB_MAX_OUTPUT_PORT_TYPE -en_out[41] <= inst41.DB_MAX_OUTPUT_PORT_TYPE -en_out[42] <= inst42.DB_MAX_OUTPUT_PORT_TYPE -en_out[43] <= inst43.DB_MAX_OUTPUT_PORT_TYPE -en_out[44] <= inst44.DB_MAX_OUTPUT_PORT_TYPE -en_out[45] <= inst45.DB_MAX_OUTPUT_PORT_TYPE -en_out[46] <= inst46.DB_MAX_OUTPUT_PORT_TYPE -en_out[47] <= inst47.DB_MAX_OUTPUT_PORT_TYPE -en_out[48] <= inst48.DB_MAX_OUTPUT_PORT_TYPE -en_out[49] <= inst49.DB_MAX_OUTPUT_PORT_TYPE -en_out[50] <= inst50.DB_MAX_OUTPUT_PORT_TYPE -en_out[51] <= inst51.DB_MAX_OUTPUT_PORT_TYPE +en_out[0] <= inst51.DB_MAX_OUTPUT_PORT_TYPE +en_out[1] <= inst50.DB_MAX_OUTPUT_PORT_TYPE +en_out[2] <= inst49.DB_MAX_OUTPUT_PORT_TYPE +en_out[3] <= inst48.DB_MAX_OUTPUT_PORT_TYPE +en_out[4] <= inst47.DB_MAX_OUTPUT_PORT_TYPE +en_out[5] <= inst46.DB_MAX_OUTPUT_PORT_TYPE +en_out[6] <= inst45.DB_MAX_OUTPUT_PORT_TYPE +en_out[7] <= inst44.DB_MAX_OUTPUT_PORT_TYPE +en_out[8] <= inst43.DB_MAX_OUTPUT_PORT_TYPE +en_out[9] <= inst42.DB_MAX_OUTPUT_PORT_TYPE +en_out[10] <= inst41.DB_MAX_OUTPUT_PORT_TYPE +en_out[11] <= inst40.DB_MAX_OUTPUT_PORT_TYPE +en_out[12] <= inst39.DB_MAX_OUTPUT_PORT_TYPE +en_out[13] <= inst93.DB_MAX_OUTPUT_PORT_TYPE +en_out[14] <= inst92.DB_MAX_OUTPUT_PORT_TYPE +en_out[15] <= inst91.DB_MAX_OUTPUT_PORT_TYPE +en_out[16] <= inst90.DB_MAX_OUTPUT_PORT_TYPE +en_out[17] <= inst89.DB_MAX_OUTPUT_PORT_TYPE +en_out[18] <= inst88.DB_MAX_OUTPUT_PORT_TYPE +en_out[19] <= inst87.DB_MAX_OUTPUT_PORT_TYPE +en_out[20] <= inst86.DB_MAX_OUTPUT_PORT_TYPE +en_out[21] <= inst85.DB_MAX_OUTPUT_PORT_TYPE +en_out[22] <= inst84.DB_MAX_OUTPUT_PORT_TYPE +en_out[23] <= inst83.DB_MAX_OUTPUT_PORT_TYPE +en_out[24] <= inst82.DB_MAX_OUTPUT_PORT_TYPE +en_out[25] <= inst81.DB_MAX_OUTPUT_PORT_TYPE +en_out[26] <= inst80.DB_MAX_OUTPUT_PORT_TYPE +en_out[27] <= inst79.DB_MAX_OUTPUT_PORT_TYPE +en_out[28] <= inst78.DB_MAX_OUTPUT_PORT_TYPE +en_out[29] <= inst77.DB_MAX_OUTPUT_PORT_TYPE +en_out[30] <= inst76.DB_MAX_OUTPUT_PORT_TYPE +en_out[31] <= inst75.DB_MAX_OUTPUT_PORT_TYPE +en_out[32] <= inst74.DB_MAX_OUTPUT_PORT_TYPE +en_out[33] <= inst73.DB_MAX_OUTPUT_PORT_TYPE +en_out[34] <= inst72.DB_MAX_OUTPUT_PORT_TYPE +en_out[35] <= inst71.DB_MAX_OUTPUT_PORT_TYPE +en_out[36] <= inst70.DB_MAX_OUTPUT_PORT_TYPE +en_out[37] <= inst69.DB_MAX_OUTPUT_PORT_TYPE +en_out[38] <= inst68.DB_MAX_OUTPUT_PORT_TYPE +en_out[39] <= inst67.DB_MAX_OUTPUT_PORT_TYPE +en_out[40] <= inst66.DB_MAX_OUTPUT_PORT_TYPE +en_out[41] <= inst65.DB_MAX_OUTPUT_PORT_TYPE +en_out[42] <= inst64.DB_MAX_OUTPUT_PORT_TYPE +en_out[43] <= inst63.DB_MAX_OUTPUT_PORT_TYPE +en_out[44] <= inst62.DB_MAX_OUTPUT_PORT_TYPE +en_out[45] <= inst61.DB_MAX_OUTPUT_PORT_TYPE +en_out[46] <= inst60.DB_MAX_OUTPUT_PORT_TYPE +en_out[47] <= inst59.DB_MAX_OUTPUT_PORT_TYPE +en_out[48] <= inst58.DB_MAX_OUTPUT_PORT_TYPE +en_out[49] <= inst57.DB_MAX_OUTPUT_PORT_TYPE +en_out[50] <= inst56.DB_MAX_OUTPUT_PORT_TYPE +en_out[51] <= inst55.DB_MAX_OUTPUT_PORT_TYPE +push => inst55.IN0 +push => inst56.IN0 +push => inst57.IN0 +push => inst58.IN0 +push => inst59.IN0 +push => inst60.IN0 +push => inst61.IN0 +push => inst62.IN0 +push => inst63.IN0 +push => inst64.IN0 +push => inst65.IN0 +push => inst66.IN0 +push => inst67.IN0 +push => inst68.IN0 +push => inst69.IN0 +push => inst70.IN0 +push => inst71.IN0 +push => inst72.IN0 +push => inst73.IN0 +push => inst74.IN0 +push => inst75.IN0 +push => inst76.IN0 +push => inst77.IN0 +push => inst78.IN0 +push => inst79.IN0 +push => inst80.IN0 +push => inst81.IN0 +push => inst82.IN0 +push => inst83.IN0 +push => inst84.IN0 +push => inst85.IN0 +push => inst86.IN0 +push => inst87.IN0 +push => inst88.IN0 +push => inst89.IN0 +push => inst90.IN0 +push => inst91.IN0 +push => inst92.IN0 +push => inst93.IN0 nop => inst52.IN0 enable => inst.IN1 enable => inst1.IN1 @@ -4965,6 +5004,19 @@ enable => inst22.IN1 enable => inst23.IN1 enable => inst24.IN1 enable => inst25.IN1 +enable => inst51.IN1 +enable => inst39.IN1 +enable => inst40.IN1 +enable => inst41.IN1 +enable => inst42.IN1 +enable => inst43.IN1 +enable => inst44.IN1 +enable => inst45.IN1 +enable => inst46.IN1 +enable => inst47.IN1 +enable => inst48.IN1 +enable => inst49.IN1 +enable => inst50.IN1 enable => inst26.IN1 enable => inst27.IN1 enable => inst28.IN1 @@ -4978,19 +5030,6 @@ enable => inst35.IN1 enable => inst36.IN1 enable => inst37.IN1 enable => inst38.IN1 -enable => inst39.IN1 -enable => inst40.IN1 -enable => inst41.IN1 -enable => inst42.IN1 -enable => inst43.IN1 -enable => inst44.IN1 -enable => inst45.IN1 -enable => inst46.IN1 -enable => inst47.IN1 -enable => inst48.IN1 -enable => inst49.IN1 -enable => inst50.IN1 -enable => inst51.IN1 en_in[0] => inst51.IN2 en_in[1] => inst50.IN2 en_in[2] => inst49.IN2 @@ -12949,23 +12988,23 @@ result[0] <= result[1] <= result[2] <= result[3] <= -result[4] <= +result[4] <= result[5] <= -result[6] <= -result[7] <= +result[6] <= +result[7] <= result[8] <= -result[9] <= +result[9] <= result[10] <= -result[11] <= +result[11] <= result[12] <= -result[13] <= +result[13] <= result[14] <= result[15] <= -result[16] <= +result[16] <= result[17] <= result[18] <= result[19] <= -result[20] <= +result[20] <= |gA6_lab3|gA6_testbed:inst|LPM_COMPARE:inst11 @@ -13215,23 +13254,23 @@ result[0] <= result[1] <= result[2] <= result[3] <= -result[4] <= +result[4] <= result[5] <= -result[6] <= -result[7] <= +result[6] <= +result[7] <= result[8] <= -result[9] <= +result[9] <= result[10] <= -result[11] <= +result[11] <= result[12] <= -result[13] <= +result[13] <= result[14] <= result[15] <= -result[16] <= +result[16] <= result[17] <= result[18] <= result[19] <= -result[20] <= +result[20] <= |gA6_lab3|gA6_testbed:inst|lpm_constant:inst3 diff --git a/lab3/db/gA6_lab3.hif b/lab3/db/gA6_lab3.hif index 415d57e..6530460 100644 Binary files a/lab3/db/gA6_lab3.hif and b/lab3/db/gA6_lab3.hif differ diff --git a/lab3/db/gA6_lab3.ipinfo b/lab3/db/gA6_lab3.ipinfo index 3ad87f6..0207d1a 100644 Binary files a/lab3/db/gA6_lab3.ipinfo and b/lab3/db/gA6_lab3.ipinfo differ diff --git a/lab3/db/gA6_lab3.lpc.html b/lab3/db/gA6_lab3.lpc.html index 0ee0516..b06f12c 100644 --- a/lab3/db/gA6_lab3.lpc.html +++ b/lab3/db/gA6_lab3.lpc.html @@ -1521,7 +1521,7 @@ inst|inst4|inst124 -54 +55 0 0 0 diff --git a/lab3/db/gA6_lab3.lpc.rdb b/lab3/db/gA6_lab3.lpc.rdb index b3a0f77..2a9dde0 100644 Binary files a/lab3/db/gA6_lab3.lpc.rdb and b/lab3/db/gA6_lab3.lpc.rdb differ diff --git a/lab3/db/gA6_lab3.lpc.txt b/lab3/db/gA6_lab3.lpc.txt index bb71102..b83f084 100644 --- a/lab3/db/gA6_lab3.lpc.txt +++ b/lab3/db/gA6_lab3.lpc.txt @@ -97,7 +97,7 @@ ; inst|inst4|inst1|$00000|auto_generated ; 13 ; 0 ; 0 ; 0 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated ; 7 ; 0 ; 0 ; 0 ; 52 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; inst|inst4|inst104 ; 7 ; 0 ; 0 ; 0 ; 52 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; inst|inst4|inst124 ; 54 ; 0 ; 0 ; 0 ; 52 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; inst|inst4|inst124 ; 55 ; 0 ; 0 ; 0 ; 52 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; inst|inst4|inst125|LPM_MUX_component|auto_generated ; 318 ; 0 ; 0 ; 0 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; inst|inst4|inst125 ; 318 ; 0 ; 0 ; 0 ; 6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; inst|inst4|inst119|auto_generated ; 12 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; diff --git a/lab3/db/gA6_lab3.map.bpm b/lab3/db/gA6_lab3.map.bpm index 61b448c..3636272 100644 Binary files a/lab3/db/gA6_lab3.map.bpm and b/lab3/db/gA6_lab3.map.bpm differ diff --git a/lab3/db/gA6_lab3.map.cdb b/lab3/db/gA6_lab3.map.cdb index 3a8f8c4..2d7303e 100644 Binary files a/lab3/db/gA6_lab3.map.cdb and b/lab3/db/gA6_lab3.map.cdb differ diff --git a/lab3/db/gA6_lab3.map.hdb b/lab3/db/gA6_lab3.map.hdb index 21d1c63..a62a50d 100644 Binary files a/lab3/db/gA6_lab3.map.hdb and b/lab3/db/gA6_lab3.map.hdb differ diff --git a/lab3/db/gA6_lab3.map.kpt b/lab3/db/gA6_lab3.map.kpt index 32818fc..ee18fef 100644 Binary files a/lab3/db/gA6_lab3.map.kpt and b/lab3/db/gA6_lab3.map.kpt differ diff --git a/lab3/db/gA6_lab3.map.qmsg b/lab3/db/gA6_lab3.map.qmsg index 7095329..3443335 100644 --- a/lab3/db/gA6_lab3.map.qmsg +++ b/lab3/db/gA6_lab3.map.qmsg @@ -1,571 +1,568 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1509590898951 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition " "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1509590898952 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Nov 01 22:48:18 2017 " "Processing started: Wed Nov 01 22:48:18 2017" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1509590898952 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1509590898952 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off gA6_lab3 -c gA6_lab3 " "Command: quartus_map --read_settings_files=on --write_settings_files=off gA6_lab3 -c gA6_lab3" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1509590898952 ""} -{ "Warning" "WACF_MISSING_TCL_FILE" "lpm_counter0.qip " "Tcl Script File lpm_counter0.qip not found" { { "Info" "IACF_ACF_ASSIGNMENT_INFO" "set_global_assignment -name QIP_FILE lpm_counter0.qip " "set_global_assignment -name QIP_FILE lpm_counter0.qip" { } { } 0 125063 "%1!s!" 0 0 "Quartus II" 0 -1 1509590899135 ""} } { } 0 125092 "Tcl Script File %1!s! not found" 0 0 "Quartus II" 0 -1 1509590899135 ""} -{ "Warning" "WACF_MISSING_TCL_FILE" "lpm_counter1.qip " "Tcl Script File lpm_counter1.qip not found" { { "Info" "IACF_ACF_ASSIGNMENT_INFO" "set_global_assignment -name QIP_FILE lpm_counter1.qip " "set_global_assignment -name QIP_FILE lpm_counter1.qip" { } { } 0 125063 "%1!s!" 0 0 "Quartus II" 0 -1 1509590899135 ""} } { } 0 125092 "Tcl Script File %1!s! not found" 0 0 "Quartus II" 0 -1 1509590899135 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS_MORE_LOGICAL" "2 2 4 " "Parallel Compilation has detected 4 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 2 of the 2 physical processors detected instead." { } { } 0 11104 "Parallel Compilation has detected %3!i! hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use %1!i! of the %2!i! physical processors detected instead." 0 0 "Quartus II" 0 -1 1509590899744 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "lpm_mux0.vhd 2 1 " "Found 2 design units, including 1 entities, in source file lpm_mux0.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 lpm_mux0-SYN " "Found design unit 1: lpm_mux0-SYN" { } { { "lpm_mux0.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/lpm_mux0.vhd" 103 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590900295 ""} { "Info" "ISGN_ENTITY_NAME" "1 lpm_mux0 " "Found entity 1: lpm_mux0" { } { { "lpm_mux0.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/lpm_mux0.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590900295 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590900295 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ga6_stack52.bdf 1 1 " "Found 1 design units, including 1 entities, in source file ga6_stack52.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_stack52 " "Found entity 1: gA6_stack52" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590900295 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590900295 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ga6_pop_enable.vhd 2 1 " "Found 2 design units, including 1 entities, in source file ga6_pop_enable.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 gA6_pop_enable-lookup " "Found design unit 1: gA6_pop_enable-lookup" { } { { "gA6_pop_enable.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 22 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590900295 ""} { "Info" "ISGN_ENTITY_NAME" "1 gA6_pop_enable " "Found entity 1: gA6_pop_enable" { } { { "gA6_pop_enable.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 14 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590900295 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590900295 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ga6_ff_enable52.bdf 1 1 " "Found 1 design units, including 1 entities, in source file ga6_ff_enable52.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_ff_enable52 " "Found entity 1: gA6_ff_enable52" { } { { "gA6_ff_enable52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_ff_enable52.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590900310 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590900310 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ga6_lab3.bdf 1 1 " "Found 1 design units, including 1 entities, in source file ga6_lab3.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_lab3 " "Found entity 1: gA6_lab3" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590900310 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590900310 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ga6_7_segment_decoder.vhd 2 1 " "Found 2 design units, including 1 entities, in source file ga6_7_segment_decoder.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 gA6_7_segment_decoder-behavior " "Found design unit 1: gA6_7_segment_decoder-behavior" { } { { "gA6_7_segment_decoder.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_7_segment_decoder.vhd" 20 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590900310 ""} { "Info" "ISGN_ENTITY_NAME" "1 gA6_7_segment_decoder " "Found entity 1: gA6_7_segment_decoder" { } { { "gA6_7_segment_decoder.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_7_segment_decoder.vhd" 12 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590900310 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590900310 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ga6_lab3_v1.bdf 1 1 " "Found 1 design units, including 1 entities, in source file ga6_lab3_v1.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_lab3_v1 " "Found entity 1: gA6_lab3_v1" { } { { "gA6_lab3_v1.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3_v1.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590900310 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590900310 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "gA6_lab3 " "Elaborating entity \"gA6_lab3\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1509590901207 ""} -{ "Warning" "WSGN_SEARCH_FILE" "ga6_testbed.bdf 1 1 " "Using design file ga6_testbed.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_testbed " "Found entity 1: gA6_testbed" { } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590901222 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1509590901222 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_testbed gA6_testbed:inst " "Elaborating entity \"gA6_testbed\" for hierarchy \"gA6_testbed:inst\"" { } { { "gA6_lab3.bdf" "inst" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 272 192 416 464 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901222 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_stack52 gA6_testbed:inst\|gA6_stack52:inst4 " "Elaborating entity \"gA6_stack52\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\"" { } { { "ga6_testbed.bdf" "inst4" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 304 528 704 464 "inst4" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901222 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value0\[5..0\] value " "Bus \"value0\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 312 784 851 328 "value0\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901222 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value1\[5..0\] value " "Bus \"value1\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 536 784 853 552 "value1\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901222 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value2\[5..0\] value " "Bus \"value2\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 760 784 855 776 "value2\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901222 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value3\[5..0\] value " "Bus \"value3\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 984 784 856 1000 "value3\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901222 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value4\[5..0\] value " "Bus \"value4\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1208 784 857 1224 "value4\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901222 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value5\[5..0\] value " "Bus \"value5\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1432 784 855 1448 "value5\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901222 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value10\[5..0\] value1 " "Bus \"value10\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2552 784 862 2568 "value10\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901222 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value11\[5..0\] value1 " "Bus \"value11\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2776 784 861 2792 "value11\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901222 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value12\[5..0\] value1 " "Bus \"value12\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3000 784 860 3016 "value12\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901222 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value13\[5..0\] value1 " "Bus \"value13\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3224 784 857 3240 "value13\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901222 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value14\[5..0\] value1 " "Bus \"value14\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3448 784 855 3464 "value14\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901222 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value15\[5..0\] value1 " "Bus \"value15\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3672 784 856 3688 "value15\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901222 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value20\[5..0\] value2 " "Bus \"value20\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4792 784 855 4808 "value20\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901222 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value21\[5..0\] value2 " "Bus \"value21\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5016 784 853 5032 "value21\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901222 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value22\[5..0\] value2 " "Bus \"value22\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5240 784 857 5256 "value22\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901222 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value23\[5..0\] value2 " "Bus \"value23\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5464 784 855 5480 "value23\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901222 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value24\[5..0\] value2 " "Bus \"value24\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5688 784 854 5704 "value24\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901222 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value25\[5..0\] value2 " "Bus \"value25\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5912 784 856 5928 "value25\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901222 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value30\[5..0\] value3 " "Bus \"value30\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7032 784 859 7048 "value30\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901222 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value31\[5..0\] value3 " "Bus \"value31\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7256 784 854 7272 "value31\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901222 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value32\[5..0\] value3 " "Bus \"value32\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7480 784 857 7496 "value32\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901222 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value33\[5..0\] value3 " "Bus \"value33\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7704 784 853 7720 "value33\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901222 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value34\[5..0\] value3 " "Bus \"value34\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7928 784 854 7944 "value34\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901222 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value35\[5..0\] value3 " "Bus \"value35\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8152 784 857 8168 "value35\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value40\[5..0\] value4 " "Bus \"value40\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9272 784 854 9288 "value40\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value41\[5..0\] value4 " "Bus \"value41\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9496 784 852 9512 "value41\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value42\[5..0\] value4 " "Bus \"value42\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9720 784 856 9736 "value42\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value43\[5..0\] value4 " "Bus \"value43\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9944 784 857 9960 "value43\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value44\[5..0\] value4 " "Bus \"value44\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10168 784 857 10184 "value44\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value45\[5..0\] value4 " "Bus \"value45\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10392 784 857 10408 "value45\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value50\[5..0\] value5 " "Bus \"value50\[5..0\]\" found using same base name as \"value5\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11512 784 857 11528 "value50\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value51\[5..0\] value5 " "Bus \"value51\[5..0\]\" found using same base name as \"value5\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11736 784 859 11752 "value51\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value51\[5..0\] value5 " "Bus \"value51\[5..0\]\" found using same base name as \"value5\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 72 992 1061 88 "value51\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value50\[5..0\] value5 " "Bus \"value50\[5..0\]\" found using same base name as \"value5\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 88 992 1065 104 "value50\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value45\[5..0\] value4 " "Bus \"value45\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 168 992 1059 184 "value45\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value44\[5..0\] value4 " "Bus \"value44\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 184 992 1058 200 "value44\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value43\[5..0\] value4 " "Bus \"value43\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 200 992 1056 216 "value43\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value42\[5..0\] value4 " "Bus \"value42\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 216 992 1059 232 "value42\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value41\[5..0\] value4 " "Bus \"value41\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 232 992 1058 248 "value41\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value40\[5..0\] value4 " "Bus \"value40\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 248 992 1059 264 "value40\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value35\[5..0\] value3 " "Bus \"value35\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 328 992 1058 344 "value35\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value34\[5..0\] value3 " "Bus \"value34\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 344 992 1062 360 "value34\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value33\[5..0\] value3 " "Bus \"value33\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 360 992 1064 376 "value33\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value32\[5..0\] value3 " "Bus \"value32\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 376 992 1065 392 "value32\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value31\[5..0\] value3 " "Bus \"value31\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 392 992 1064 408 "value31\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value30\[5..0\] value3 " "Bus \"value30\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 408 992 1061 424 "value30\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value25\[5..0\] value2 " "Bus \"value25\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 488 992 1061 504 "value25\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value24\[5..0\] value2 " "Bus \"value24\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 504 992 1061 520 "value24\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value23\[5..0\] value2 " "Bus \"value23\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 520 992 1059 536 "value23\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value22\[5..0\] value2 " "Bus \"value22\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 536 992 1063 552 "value22\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value21\[5..0\] value2 " "Bus \"value21\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 552 992 1065 568 "value21\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value20\[5..0\] value2 " "Bus \"value20\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 568 992 1067 584 "value20\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value15\[5..0\] value1 " "Bus \"value15\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 648 992 1063 664 "value15\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value14\[5..0\] value1 " "Bus \"value14\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 664 992 1062 680 "value14\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value13\[5..0\] value1 " "Bus \"value13\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 680 992 1061 696 "value13\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value12\[5..0\] value1 " "Bus \"value12\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 696 992 1061 712 "value12\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value11\[5..0\] value1 " "Bus \"value11\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 712 992 1059 728 "value11\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value10\[5..0\] value1 " "Bus \"value10\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 728 992 1060 744 "value10\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value5\[5..0\] value " "Bus \"value5\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 808 992 1064 824 "value5\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value4\[5..0\] value " "Bus \"value4\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 824 992 1058 840 "value4\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value3\[5..0\] value " "Bus \"value3\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 840 992 1061 856 "value3\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value2\[5..0\] value " "Bus \"value2\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 856 992 1056 872 "value2\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value1\[5..0\] value " "Bus \"value1\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 872 992 1057 888 "value1\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value0\[5..0\] value " "Bus \"value0\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 888 992 1059 904 "value0\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value " "Converted elements in bus name \"value\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value\[5..0\] value5..0 " "Converted element name(s) from \"value\[5..0\]\" to \"value5..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 64 592 768 80 "value\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 64 592 768 80 "value\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value0 " "Converted elements in bus name \"value0\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value0\[5..0\] value05..0 " "Converted element name(s) from \"value0\[5..0\]\" to \"value05..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 312 784 851 328 "value0\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value0\[5..0\] value05..0 " "Converted element name(s) from \"value0\[5..0\]\" to \"value05..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 888 992 1059 904 "value0\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 312 784 851 328 "value0\[5..0\]" "" } { 888 992 1059 904 "value0\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value1 " "Converted elements in bus name \"value1\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value1\[5..0\] value15..0 " "Converted element name(s) from \"value1\[5..0\]\" to \"value15..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 536 784 853 552 "value1\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value1\[5..0\] value15..0 " "Converted element name(s) from \"value1\[5..0\]\" to \"value15..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 872 992 1057 888 "value1\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 536 784 853 552 "value1\[5..0\]" "" } { 872 992 1057 888 "value1\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value10 " "Converted elements in bus name \"value10\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value10\[5..0\] value105..0 " "Converted element name(s) from \"value10\[5..0\]\" to \"value105..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2552 784 862 2568 "value10\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value10\[5..0\] value105..0 " "Converted element name(s) from \"value10\[5..0\]\" to \"value105..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 728 992 1060 744 "value10\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2552 784 862 2568 "value10\[5..0\]" "" } { 728 992 1060 744 "value10\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value11 " "Converted elements in bus name \"value11\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value11\[5..0\] value115..0 " "Converted element name(s) from \"value11\[5..0\]\" to \"value115..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2776 784 861 2792 "value11\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value11\[5..0\] value115..0 " "Converted element name(s) from \"value11\[5..0\]\" to \"value115..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 712 992 1059 728 "value11\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2776 784 861 2792 "value11\[5..0\]" "" } { 712 992 1059 728 "value11\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value12 " "Converted elements in bus name \"value12\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value12\[5..0\] value125..0 " "Converted element name(s) from \"value12\[5..0\]\" to \"value125..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3000 784 860 3016 "value12\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value12\[5..0\] value125..0 " "Converted element name(s) from \"value12\[5..0\]\" to \"value125..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 696 992 1061 712 "value12\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3000 784 860 3016 "value12\[5..0\]" "" } { 696 992 1061 712 "value12\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value13 " "Converted elements in bus name \"value13\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value13\[5..0\] value135..0 " "Converted element name(s) from \"value13\[5..0\]\" to \"value135..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3224 784 857 3240 "value13\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value13\[5..0\] value135..0 " "Converted element name(s) from \"value13\[5..0\]\" to \"value135..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 680 992 1061 696 "value13\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3224 784 857 3240 "value13\[5..0\]" "" } { 680 992 1061 696 "value13\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value14 " "Converted elements in bus name \"value14\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value14\[5..0\] value145..0 " "Converted element name(s) from \"value14\[5..0\]\" to \"value145..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3448 784 855 3464 "value14\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value14\[5..0\] value145..0 " "Converted element name(s) from \"value14\[5..0\]\" to \"value145..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 664 992 1062 680 "value14\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3448 784 855 3464 "value14\[5..0\]" "" } { 664 992 1062 680 "value14\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value15 " "Converted elements in bus name \"value15\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value15\[5..0\] value155..0 " "Converted element name(s) from \"value15\[5..0\]\" to \"value155..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3672 784 856 3688 "value15\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value15\[5..0\] value155..0 " "Converted element name(s) from \"value15\[5..0\]\" to \"value155..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 648 992 1063 664 "value15\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3672 784 856 3688 "value15\[5..0\]" "" } { 648 992 1063 664 "value15\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value2 " "Converted elements in bus name \"value2\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value2\[5..0\] value25..0 " "Converted element name(s) from \"value2\[5..0\]\" to \"value25..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 760 784 855 776 "value2\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value2\[5..0\] value25..0 " "Converted element name(s) from \"value2\[5..0\]\" to \"value25..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 856 992 1056 872 "value2\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 760 784 855 776 "value2\[5..0\]" "" } { 856 992 1056 872 "value2\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value20 " "Converted elements in bus name \"value20\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value20\[5..0\] value205..0 " "Converted element name(s) from \"value20\[5..0\]\" to \"value205..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4792 784 855 4808 "value20\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value20\[5..0\] value205..0 " "Converted element name(s) from \"value20\[5..0\]\" to \"value205..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 568 992 1067 584 "value20\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4792 784 855 4808 "value20\[5..0\]" "" } { 568 992 1067 584 "value20\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value21 " "Converted elements in bus name \"value21\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value21\[5..0\] value215..0 " "Converted element name(s) from \"value21\[5..0\]\" to \"value215..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5016 784 853 5032 "value21\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value21\[5..0\] value215..0 " "Converted element name(s) from \"value21\[5..0\]\" to \"value215..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 552 992 1065 568 "value21\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5016 784 853 5032 "value21\[5..0\]" "" } { 552 992 1065 568 "value21\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value22 " "Converted elements in bus name \"value22\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value22\[5..0\] value225..0 " "Converted element name(s) from \"value22\[5..0\]\" to \"value225..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5240 784 857 5256 "value22\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value22\[5..0\] value225..0 " "Converted element name(s) from \"value22\[5..0\]\" to \"value225..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 536 992 1063 552 "value22\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5240 784 857 5256 "value22\[5..0\]" "" } { 536 992 1063 552 "value22\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value23 " "Converted elements in bus name \"value23\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value23\[5..0\] value235..0 " "Converted element name(s) from \"value23\[5..0\]\" to \"value235..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5464 784 855 5480 "value23\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value23\[5..0\] value235..0 " "Converted element name(s) from \"value23\[5..0\]\" to \"value235..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 520 992 1059 536 "value23\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5464 784 855 5480 "value23\[5..0\]" "" } { 520 992 1059 536 "value23\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value24 " "Converted elements in bus name \"value24\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value24\[5..0\] value245..0 " "Converted element name(s) from \"value24\[5..0\]\" to \"value245..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5688 784 854 5704 "value24\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value24\[5..0\] value245..0 " "Converted element name(s) from \"value24\[5..0\]\" to \"value245..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 504 992 1061 520 "value24\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5688 784 854 5704 "value24\[5..0\]" "" } { 504 992 1061 520 "value24\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value25 " "Converted elements in bus name \"value25\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value25\[5..0\] value255..0 " "Converted element name(s) from \"value25\[5..0\]\" to \"value255..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5912 784 856 5928 "value25\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value25\[5..0\] value255..0 " "Converted element name(s) from \"value25\[5..0\]\" to \"value255..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 488 992 1061 504 "value25\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5912 784 856 5928 "value25\[5..0\]" "" } { 488 992 1061 504 "value25\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value3 " "Converted elements in bus name \"value3\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value3\[5..0\] value35..0 " "Converted element name(s) from \"value3\[5..0\]\" to \"value35..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 984 784 856 1000 "value3\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value3\[5..0\] value35..0 " "Converted element name(s) from \"value3\[5..0\]\" to \"value35..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 840 992 1061 856 "value3\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 984 784 856 1000 "value3\[5..0\]" "" } { 840 992 1061 856 "value3\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value30 " "Converted elements in bus name \"value30\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value30\[5..0\] value305..0 " "Converted element name(s) from \"value30\[5..0\]\" to \"value305..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7032 784 859 7048 "value30\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value30\[5..0\] value305..0 " "Converted element name(s) from \"value30\[5..0\]\" to \"value305..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 408 992 1061 424 "value30\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7032 784 859 7048 "value30\[5..0\]" "" } { 408 992 1061 424 "value30\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value31 " "Converted elements in bus name \"value31\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value31\[5..0\] value315..0 " "Converted element name(s) from \"value31\[5..0\]\" to \"value315..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7256 784 854 7272 "value31\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value31\[5..0\] value315..0 " "Converted element name(s) from \"value31\[5..0\]\" to \"value315..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 392 992 1064 408 "value31\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7256 784 854 7272 "value31\[5..0\]" "" } { 392 992 1064 408 "value31\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value32 " "Converted elements in bus name \"value32\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value32\[5..0\] value325..0 " "Converted element name(s) from \"value32\[5..0\]\" to \"value325..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7480 784 857 7496 "value32\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value32\[5..0\] value325..0 " "Converted element name(s) from \"value32\[5..0\]\" to \"value325..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 376 992 1065 392 "value32\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7480 784 857 7496 "value32\[5..0\]" "" } { 376 992 1065 392 "value32\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value33 " "Converted elements in bus name \"value33\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value33\[5..0\] value335..0 " "Converted element name(s) from \"value33\[5..0\]\" to \"value335..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7704 784 853 7720 "value33\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value33\[5..0\] value335..0 " "Converted element name(s) from \"value33\[5..0\]\" to \"value335..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 360 992 1064 376 "value33\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7704 784 853 7720 "value33\[5..0\]" "" } { 360 992 1064 376 "value33\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value34 " "Converted elements in bus name \"value34\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value34\[5..0\] value345..0 " "Converted element name(s) from \"value34\[5..0\]\" to \"value345..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7928 784 854 7944 "value34\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value34\[5..0\] value345..0 " "Converted element name(s) from \"value34\[5..0\]\" to \"value345..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 344 992 1062 360 "value34\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7928 784 854 7944 "value34\[5..0\]" "" } { 344 992 1062 360 "value34\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value35 " "Converted elements in bus name \"value35\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value35\[5..0\] value355..0 " "Converted element name(s) from \"value35\[5..0\]\" to \"value355..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8152 784 857 8168 "value35\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value35\[5..0\] value355..0 " "Converted element name(s) from \"value35\[5..0\]\" to \"value355..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 328 992 1058 344 "value35\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8152 784 857 8168 "value35\[5..0\]" "" } { 328 992 1058 344 "value35\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value4 " "Converted elements in bus name \"value4\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value4\[5..0\] value45..0 " "Converted element name(s) from \"value4\[5..0\]\" to \"value45..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1208 784 857 1224 "value4\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value4\[5..0\] value45..0 " "Converted element name(s) from \"value4\[5..0\]\" to \"value45..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 824 992 1058 840 "value4\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1208 784 857 1224 "value4\[5..0\]" "" } { 824 992 1058 840 "value4\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value40 " "Converted elements in bus name \"value40\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value40\[5..0\] value405..0 " "Converted element name(s) from \"value40\[5..0\]\" to \"value405..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9272 784 854 9288 "value40\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value40\[5..0\] value405..0 " "Converted element name(s) from \"value40\[5..0\]\" to \"value405..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 248 992 1059 264 "value40\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9272 784 854 9288 "value40\[5..0\]" "" } { 248 992 1059 264 "value40\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value41 " "Converted elements in bus name \"value41\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value41\[5..0\] value415..0 " "Converted element name(s) from \"value41\[5..0\]\" to \"value415..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9496 784 852 9512 "value41\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value41\[5..0\] value415..0 " "Converted element name(s) from \"value41\[5..0\]\" to \"value415..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 232 992 1058 248 "value41\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9496 784 852 9512 "value41\[5..0\]" "" } { 232 992 1058 248 "value41\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value42 " "Converted elements in bus name \"value42\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value42\[5..0\] value425..0 " "Converted element name(s) from \"value42\[5..0\]\" to \"value425..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9720 784 856 9736 "value42\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value42\[5..0\] value425..0 " "Converted element name(s) from \"value42\[5..0\]\" to \"value425..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 216 992 1059 232 "value42\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9720 784 856 9736 "value42\[5..0\]" "" } { 216 992 1059 232 "value42\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value43 " "Converted elements in bus name \"value43\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value43\[5..0\] value435..0 " "Converted element name(s) from \"value43\[5..0\]\" to \"value435..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9944 784 857 9960 "value43\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value43\[5..0\] value435..0 " "Converted element name(s) from \"value43\[5..0\]\" to \"value435..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 200 992 1056 216 "value43\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9944 784 857 9960 "value43\[5..0\]" "" } { 200 992 1056 216 "value43\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value44 " "Converted elements in bus name \"value44\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value44\[5..0\] value445..0 " "Converted element name(s) from \"value44\[5..0\]\" to \"value445..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10168 784 857 10184 "value44\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value44\[5..0\] value445..0 " "Converted element name(s) from \"value44\[5..0\]\" to \"value445..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 184 992 1058 200 "value44\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10168 784 857 10184 "value44\[5..0\]" "" } { 184 992 1058 200 "value44\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value45 " "Converted elements in bus name \"value45\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value45\[5..0\] value455..0 " "Converted element name(s) from \"value45\[5..0\]\" to \"value455..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10392 784 857 10408 "value45\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value45\[5..0\] value455..0 " "Converted element name(s) from \"value45\[5..0\]\" to \"value455..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 168 992 1059 184 "value45\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10392 784 857 10408 "value45\[5..0\]" "" } { 168 992 1059 184 "value45\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value5 " "Converted elements in bus name \"value5\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value5\[5..0\] value55..0 " "Converted element name(s) from \"value5\[5..0\]\" to \"value55..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1432 784 855 1448 "value5\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value5\[5..0\] value55..0 " "Converted element name(s) from \"value5\[5..0\]\" to \"value55..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 808 992 1064 824 "value5\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1432 784 855 1448 "value5\[5..0\]" "" } { 808 992 1064 824 "value5\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value50 " "Converted elements in bus name \"value50\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value50\[5..0\] value505..0 " "Converted element name(s) from \"value50\[5..0\]\" to \"value505..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11512 784 857 11528 "value50\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value50\[5..0\] value505..0 " "Converted element name(s) from \"value50\[5..0\]\" to \"value505..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 88 992 1065 104 "value50\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11512 784 857 11528 "value50\[5..0\]" "" } { 88 992 1065 104 "value50\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value51 " "Converted elements in bus name \"value51\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value51\[5..0\] value515..0 " "Converted element name(s) from \"value51\[5..0\]\" to \"value515..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11736 784 859 11752 "value51\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value51\[5..0\] value515..0 " "Converted element name(s) from \"value51\[5..0\]\" to \"value515..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 72 992 1061 88 "value51\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11736 784 859 11752 "value51\[5..0\]" "" } { 72 992 1061 88 "value51\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590901238 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_COMPARE gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120 " "Elaborating entity \"LPM_COMPARE\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120\"" { } { { "gA6_stack52.bdf" "inst120" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1016 -64 64 1144 "inst120" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901269 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1016 -64 64 1144 "inst120" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590901269 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_PIPELINE 0 " "Parameter \"LPM_PIPELINE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901269 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901269 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901269 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1016 -64 64 1144 "inst120" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590901269 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_86h.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_86h.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_86h " "Found entity 1: cmpr_86h" { } { { "db/cmpr_86h.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_86h.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590901347 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590901347 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cmpr_86h gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120\|cmpr_86h:auto_generated " "Elaborating entity \"cmpr_86h\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120\|cmpr_86h:auto_generated\"" { } { { "lpm_compare.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_compare.tdf" 280 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901347 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_COUNTER gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115 " "Elaborating entity \"LPM_COUNTER\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115\"" { } { { "gA6_stack52.bdf" "inst115" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 656 -72 64 856 "inst115" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901394 ""} -{ "Warning" "WTDFX_ASSERTION" "The data\[\] port is connected but aload and sload are not connected or are ground. This means the data will be ignored " "Assertion warning: The data\[\] port is connected but aload and sload are not connected or are ground. This means the data will be ignored" { } { { "lpm_counter.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_counter.tdf" 475 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 416 -72 64 616 "inst115" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590901394 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 656 -72 64 856 "inst115" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590901394 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901394 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 52 " "Parameter \"LPM_SVALUE\" = \"52\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901394 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901394 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 656 -72 64 856 "inst115" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590901394 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_p2i.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_p2i.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_p2i " "Found entity 1: cntr_p2i" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590901472 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590901472 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cntr_p2i gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115\|cntr_p2i:auto_generated " "Elaborating entity \"cntr_p2i\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115\|cntr_p2i:auto_generated\"" { } { { "lpm_counter.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_counter.tdf" 258 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901472 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_COMPARE gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119 " "Elaborating entity \"LPM_COMPARE\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119\"" { } { { "gA6_stack52.bdf" "inst119" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 880 -64 64 1008 "inst119" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901472 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 880 -64 64 1008 "inst119" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590901488 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_PIPELINE 0 " "Parameter \"LPM_PIPELINE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901488 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901488 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901488 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 880 -64 64 1008 "inst119" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590901488 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_4nh.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_4nh.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_4nh " "Found entity 1: cmpr_4nh" { } { { "db/cmpr_4nh.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_4nh.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590901566 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590901566 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cmpr_4nh gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119\|cmpr_4nh:auto_generated " "Elaborating entity \"cmpr_4nh\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119\|cmpr_4nh:auto_generated\"" { } { { "lpm_compare.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_compare.tdf" 280 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901566 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_mux0 gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125 " "Elaborating entity \"lpm_mux0\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\"" { } { { "gA6_stack52.bdf" "inst125" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 48 1056 1200 928 "inst125" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901566 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_MUX gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component " "Elaborating entity \"LPM_MUX\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component\"" { } { { "lpm_mux0.vhd" "LPM_MUX_component" { Text "C:/home/abbas/dsd_A6/lab3/lpm_mux0.vhd" 529 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901597 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component\"" { } { { "lpm_mux0.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/lpm_mux0.vhd" 529 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590901597 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901597 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SIZE 52 " "Parameter \"LPM_SIZE\" = \"52\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901597 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 6 " "Parameter \"LPM_WIDTHS\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901597 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_PIPELINE 0 " "Parameter \"LPM_PIPELINE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901597 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_MUX " "Parameter \"LPM_TYPE\" = \"LPM_MUX\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901597 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_HINT UNUSED " "Parameter \"LPM_HINT\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901597 ""} } { { "lpm_mux0.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/lpm_mux0.vhd" 529 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590901597 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mux_v4e.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mux_v4e.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mux_v4e " "Found entity 1: mux_v4e" { } { { "db/mux_v4e.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/mux_v4e.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590901691 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590901691 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mux_v4e gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component\|mux_v4e:auto_generated " "Elaborating entity \"mux_v4e\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component\|mux_v4e:auto_generated\"" { } { { "lpm_mux.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_mux.tdf" 86 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901691 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\"" { } { { "gA6_stack52.bdf" "inst" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 240 584 760 384 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901722 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 240 584 760 384 "inst" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590901722 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 240 584 760 384 "inst" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590901738 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 240 584 760 384 "inst" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590901738 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901738 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901738 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 0 " "Parameter \"LPM_SVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901738 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901738 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 240 584 760 384 "inst" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590901738 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901754 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 240 584 760 384 "inst" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901754 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_ff_enable52 gA6_testbed:inst\|gA6_stack52:inst4\|gA6_ff_enable52:inst124 " "Elaborating entity \"gA6_ff_enable52\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_ff_enable52:inst124\"" { } { { "gA6_stack52.bdf" "inst124" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1288 -128 64 1384 "inst124" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901754 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_pop_enable gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104 " "Elaborating entity \"gA6_pop_enable\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\"" { } { { "gA6_stack52.bdf" "inst104" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1176 -112 64 1256 "inst104" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901754 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_ROM gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table " "Elaborating entity \"LPM_ROM\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\"" { } { { "gA6_pop_enable.vhd" "lookup_table" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 25 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901785 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\"" { } { { "gA6_pop_enable.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 25 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590901785 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 52 " "Parameter \"LPM_WIDTH\" = \"52\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901785 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHAD 6 " "Parameter \"LPM_WIDTHAD\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901785 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_NUMWORDS 64 " "Parameter \"LPM_NUMWORDS\" = \"64\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901785 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_ADDRESS_CONTROL REGISTERED " "Parameter \"LPM_ADDRESS_CONTROL\" = \"REGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901785 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_OUTDATA UNREGISTERED " "Parameter \"LPM_OUTDATA\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901785 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FILE gA6_popup_rom_data.mif " "Parameter \"LPM_FILE\" = \"gA6_popup_rom_data.mif\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901785 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_ROM " "Parameter \"LPM_TYPE\" = \"LPM_ROM\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901785 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INTENDED_DEVICE_FAMILY UNUSED " "Parameter \"INTENDED_DEVICE_FAMILY\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901785 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_HINT UNUSED " "Parameter \"LPM_HINT\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901785 ""} } { { "gA6_pop_enable.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 25 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590901785 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altrom gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom " "Elaborating entity \"altrom\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\"" { } { { "lpm_rom.tdf" "srom" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 54 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901816 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\"" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 54 3 0 } } { "gA6_pop_enable.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 25 0 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901816 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\|altsyncram:rom_block " "Elaborating entity \"altsyncram\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\|altsyncram:rom_block\"" { } { { "altrom.tdf" "rom_block" { Text "c:/altera/13.0/quartus/libraries/megafunctions/altrom.tdf" 88 6 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901863 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\|altsyncram:rom_block gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\|altsyncram:rom_block\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\"" { } { { "altrom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/altrom.tdf" 88 6 0 } } { "gA6_pop_enable.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 25 0 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590901957 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_f911.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_f911.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_f911 " "Found entity 1: altsyncram_f911" { } { { "db/altsyncram_f911.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/altsyncram_f911.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590902050 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590902050 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_f911 gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\|altsyncram:rom_block\|altsyncram_f911:auto_generated " "Elaborating entity \"altsyncram_f911\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\|altsyncram:rom_block\|altsyncram_f911:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/altsyncram.tdf" 791 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902050 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "BUSMUX gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1 " "Elaborating entity \"BUSMUX\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\"" { } { { "gA6_stack52.bdf" "inst1" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 264 432 544 352 "inst1" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902082 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 264 432 544 352 "inst1" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902082 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH 6 " "Parameter \"WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902082 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 264 432 544 352 "inst1" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902082 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_MUX gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\|LPM_MUX:\$00000 " "Elaborating entity \"LPM_MUX\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\|LPM_MUX:\$00000\"" { } { { "busmux.tdf" "\$00000" { Text "c:/altera/13.0/quartus/libraries/megafunctions/busmux.tdf" 43 13 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902082 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\|LPM_MUX:\$00000 gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\|LPM_MUX:\$00000\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\"" { } { { "busmux.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/busmux.tdf" 43 13 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 264 432 544 352 "inst1" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902082 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mux_qmc.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mux_qmc.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mux_qmc " "Found entity 1: mux_qmc" { } { { "db/mux_qmc.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/mux_qmc.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590902160 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590902160 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mux_qmc gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\|LPM_MUX:\$00000\|mux_qmc:auto_generated " "Elaborating entity \"mux_qmc\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\|LPM_MUX:\$00000\|mux_qmc:auto_generated\"" { } { { "lpm_mux.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_mux.tdf" 86 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902160 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\"" { } { { "gA6_stack52.bdf" "inst2" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 464 584 760 608 "inst2" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902160 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 464 584 760 608 "inst2" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902160 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 464 584 760 608 "inst2" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902160 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst2"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 464 584 760 608 "inst2" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902160 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902160 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902160 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 1 " "Parameter \"LPM_SVALUE\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902160 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902160 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 464 584 760 608 "inst2" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902160 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902175 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 464 584 760 608 "inst2" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902175 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\"" { } { { "gA6_stack52.bdf" "inst5" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 688 584 760 832 "inst5" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902175 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 688 584 760 832 "inst5" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902175 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 688 584 760 832 "inst5" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902175 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst5"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 688 584 760 832 "inst5" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902175 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902175 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902175 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 2 " "Parameter \"LPM_SVALUE\" = \"2\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902175 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902175 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 688 584 760 832 "inst5" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902175 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902175 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 688 584 760 832 "inst5" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902175 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\"" { } { { "gA6_stack52.bdf" "inst7" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 912 584 760 1056 "inst7" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902191 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 912 584 760 1056 "inst7" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902191 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 912 584 760 1056 "inst7" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902191 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst7"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 912 584 760 1056 "inst7" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902191 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902191 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902191 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 3 " "Parameter \"LPM_SVALUE\" = \"3\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902191 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902191 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 912 584 760 1056 "inst7" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902191 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902191 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 912 584 760 1056 "inst7" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902191 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\"" { } { { "gA6_stack52.bdf" "inst9" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1136 584 760 1280 "inst9" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902207 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1136 584 760 1280 "inst9" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902207 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1136 584 760 1280 "inst9" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902207 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst9"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1136 584 760 1280 "inst9" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902207 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902207 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902207 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 4 " "Parameter \"LPM_SVALUE\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902207 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902207 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1136 584 760 1280 "inst9" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902207 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902207 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1136 584 760 1280 "inst9" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902207 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\"" { } { { "gA6_stack52.bdf" "inst11" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1360 584 760 1504 "inst11" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902222 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1360 584 760 1504 "inst11" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902222 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1360 584 760 1504 "inst11" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902222 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst11"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1360 584 760 1504 "inst11" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902222 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902222 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902222 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 5 " "Parameter \"LPM_SVALUE\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902222 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902222 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1360 584 760 1504 "inst11" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902222 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902222 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1360 584 760 1504 "inst11" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902222 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\"" { } { { "gA6_stack52.bdf" "inst13" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1584 584 760 1728 "inst13" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902238 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1584 584 760 1728 "inst13" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902238 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1584 584 760 1728 "inst13" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902238 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst13"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1584 584 760 1728 "inst13" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902238 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902238 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902238 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 6 " "Parameter \"LPM_SVALUE\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902238 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902238 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1584 584 760 1728 "inst13" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902238 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902238 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1584 584 760 1728 "inst13" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902238 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\"" { } { { "gA6_stack52.bdf" "inst14" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1808 584 760 1952 "inst14" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902254 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1808 584 760 1952 "inst14" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902254 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1808 584 760 1952 "inst14" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902254 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst14"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1808 584 760 1952 "inst14" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902254 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902254 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902254 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 7 " "Parameter \"LPM_SVALUE\" = \"7\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902254 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902254 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1808 584 760 1952 "inst14" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902254 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902254 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1808 584 760 1952 "inst14" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902254 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\"" { } { { "gA6_stack52.bdf" "inst17" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2032 584 760 2176 "inst17" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902269 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2032 584 760 2176 "inst17" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902269 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2032 584 760 2176 "inst17" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902269 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst17"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2032 584 760 2176 "inst17" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902269 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902269 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902269 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 8 " "Parameter \"LPM_SVALUE\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902269 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902269 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2032 584 760 2176 "inst17" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902269 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902269 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2032 584 760 2176 "inst17" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902269 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\"" { } { { "gA6_stack52.bdf" "inst19" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2256 584 760 2400 "inst19" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902285 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2256 584 760 2400 "inst19" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902285 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2256 584 760 2400 "inst19" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902285 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst19"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2256 584 760 2400 "inst19" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902285 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902285 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902285 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 9 " "Parameter \"LPM_SVALUE\" = \"9\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902285 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902285 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2256 584 760 2400 "inst19" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902285 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902285 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2256 584 760 2400 "inst19" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902285 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\"" { } { { "gA6_stack52.bdf" "inst21" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2480 584 760 2624 "inst21" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902300 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2480 584 760 2624 "inst21" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902300 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2480 584 760 2624 "inst21" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902300 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst21"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2480 584 760 2624 "inst21" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902300 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902300 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902300 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 10 " "Parameter \"LPM_SVALUE\" = \"10\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902300 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902300 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2480 584 760 2624 "inst21" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902300 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902300 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2480 584 760 2624 "inst21" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902300 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\"" { } { { "gA6_stack52.bdf" "inst23" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2704 584 760 2848 "inst23" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902316 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2704 584 760 2848 "inst23" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902316 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2704 584 760 2848 "inst23" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902316 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst23"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2704 584 760 2848 "inst23" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902316 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902316 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902316 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 11 " "Parameter \"LPM_SVALUE\" = \"11\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902316 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902316 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2704 584 760 2848 "inst23" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902316 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902316 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2704 584 760 2848 "inst23" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902316 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\"" { } { { "gA6_stack52.bdf" "inst25" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2928 584 760 3072 "inst25" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902332 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2928 584 760 3072 "inst25" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902332 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2928 584 760 3072 "inst25" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902332 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst25"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2928 584 760 3072 "inst25" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902332 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902332 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902332 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 12 " "Parameter \"LPM_SVALUE\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902332 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902332 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2928 584 760 3072 "inst25" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902332 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902332 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2928 584 760 3072 "inst25" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902332 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\"" { } { { "gA6_stack52.bdf" "inst27" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3152 584 760 3296 "inst27" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902347 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3152 584 760 3296 "inst27" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902347 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3152 584 760 3296 "inst27" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902347 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst27"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3152 584 760 3296 "inst27" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902347 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902347 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902347 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 13 " "Parameter \"LPM_SVALUE\" = \"13\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902347 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902347 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3152 584 760 3296 "inst27" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902347 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902347 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3152 584 760 3296 "inst27" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902347 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\"" { } { { "gA6_stack52.bdf" "inst28" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3376 584 760 3520 "inst28" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902363 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3376 584 760 3520 "inst28" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902363 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3376 584 760 3520 "inst28" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902363 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst28"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3376 584 760 3520 "inst28" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902363 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902363 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902363 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 14 " "Parameter \"LPM_SVALUE\" = \"14\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902363 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902363 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3376 584 760 3520 "inst28" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902363 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902363 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3376 584 760 3520 "inst28" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902363 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\"" { } { { "gA6_stack52.bdf" "inst31" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3600 584 760 3744 "inst31" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902379 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3600 584 760 3744 "inst31" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902379 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3600 584 760 3744 "inst31" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902379 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst31"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3600 584 760 3744 "inst31" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902379 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902379 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902379 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 15 " "Parameter \"LPM_SVALUE\" = \"15\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902379 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902379 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3600 584 760 3744 "inst31" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902379 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902379 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3600 584 760 3744 "inst31" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902379 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\"" { } { { "gA6_stack52.bdf" "inst33" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3824 584 760 3968 "inst33" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902394 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3824 584 760 3968 "inst33" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902394 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3824 584 760 3968 "inst33" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902394 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst33"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3824 584 760 3968 "inst33" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902394 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902394 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902394 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 16 " "Parameter \"LPM_SVALUE\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902394 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902394 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3824 584 760 3968 "inst33" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902394 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902394 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3824 584 760 3968 "inst33" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902394 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\"" { } { { "gA6_stack52.bdf" "inst35" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4048 584 760 4192 "inst35" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902410 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4048 584 760 4192 "inst35" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902410 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4048 584 760 4192 "inst35" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902410 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst35"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4048 584 760 4192 "inst35" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902410 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902410 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902410 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 17 " "Parameter \"LPM_SVALUE\" = \"17\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902410 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902410 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4048 584 760 4192 "inst35" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902410 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902410 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4048 584 760 4192 "inst35" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902410 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\"" { } { { "gA6_stack52.bdf" "inst37" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4272 584 760 4416 "inst37" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902425 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4272 584 760 4416 "inst37" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902425 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4272 584 760 4416 "inst37" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902425 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst37"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4272 584 760 4416 "inst37" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902425 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 18 " "Parameter \"LPM_SVALUE\" = \"18\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902425 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4272 584 760 4416 "inst37" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902425 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902425 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4272 584 760 4416 "inst37" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902425 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\"" { } { { "gA6_stack52.bdf" "inst39" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4496 584 760 4640 "inst39" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902441 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4496 584 760 4640 "inst39" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902441 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4496 584 760 4640 "inst39" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902441 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst39"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4496 584 760 4640 "inst39" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902441 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902441 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902441 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 19 " "Parameter \"LPM_SVALUE\" = \"19\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902441 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902441 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4496 584 760 4640 "inst39" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902441 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902441 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4496 584 760 4640 "inst39" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902441 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\"" { } { { "gA6_stack52.bdf" "inst41" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4720 584 760 4864 "inst41" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902457 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4720 584 760 4864 "inst41" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902457 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4720 584 760 4864 "inst41" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902457 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst41"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4720 584 760 4864 "inst41" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902457 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902457 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902457 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 20 " "Parameter \"LPM_SVALUE\" = \"20\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902457 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902457 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4720 584 760 4864 "inst41" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902457 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902457 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4720 584 760 4864 "inst41" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902457 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\"" { } { { "gA6_stack52.bdf" "inst42" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4944 584 760 5088 "inst42" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902472 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4944 584 760 5088 "inst42" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902472 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4944 584 760 5088 "inst42" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902472 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst42"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4944 584 760 5088 "inst42" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902472 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902472 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902472 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 21 " "Parameter \"LPM_SVALUE\" = \"21\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902472 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902472 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4944 584 760 5088 "inst42" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902472 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902472 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4944 584 760 5088 "inst42" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902472 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\"" { } { { "gA6_stack52.bdf" "inst45" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5168 584 760 5312 "inst45" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902488 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5168 584 760 5312 "inst45" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902488 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5168 584 760 5312 "inst45" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902488 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst45"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5168 584 760 5312 "inst45" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902488 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902488 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902488 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 22 " "Parameter \"LPM_SVALUE\" = \"22\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902488 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902488 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5168 584 760 5312 "inst45" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902488 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902488 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5168 584 760 5312 "inst45" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902488 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\"" { } { { "gA6_stack52.bdf" "inst47" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5392 584 760 5536 "inst47" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902504 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5392 584 760 5536 "inst47" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902504 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5392 584 760 5536 "inst47" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902504 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst47"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5392 584 760 5536 "inst47" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902504 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902504 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902504 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 23 " "Parameter \"LPM_SVALUE\" = \"23\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902504 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902504 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5392 584 760 5536 "inst47" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902504 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902504 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5392 584 760 5536 "inst47" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902504 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\"" { } { { "gA6_stack52.bdf" "inst49" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5616 584 760 5760 "inst49" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902519 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5616 584 760 5760 "inst49" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902519 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5616 584 760 5760 "inst49" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902519 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst49"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5616 584 760 5760 "inst49" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902519 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902519 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902519 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 24 " "Parameter \"LPM_SVALUE\" = \"24\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902519 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902519 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5616 584 760 5760 "inst49" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902519 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902519 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5616 584 760 5760 "inst49" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902519 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\"" { } { { "gA6_stack52.bdf" "inst51" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5840 584 760 5984 "inst51" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902535 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5840 584 760 5984 "inst51" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902535 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5840 584 760 5984 "inst51" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902535 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst51"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5840 584 760 5984 "inst51" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902535 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902535 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902535 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 25 " "Parameter \"LPM_SVALUE\" = \"25\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902535 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902535 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5840 584 760 5984 "inst51" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902535 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902535 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5840 584 760 5984 "inst51" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902535 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\"" { } { { "gA6_stack52.bdf" "inst53" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6064 584 760 6208 "inst53" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902550 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6064 584 760 6208 "inst53" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902550 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6064 584 760 6208 "inst53" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902550 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst53"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6064 584 760 6208 "inst53" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902550 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902550 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902550 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 26 " "Parameter \"LPM_SVALUE\" = \"26\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902550 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902550 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6064 584 760 6208 "inst53" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902550 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902550 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6064 584 760 6208 "inst53" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902550 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\"" { } { { "gA6_stack52.bdf" "inst54" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6288 584 760 6432 "inst54" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902566 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6288 584 760 6432 "inst54" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902566 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6288 584 760 6432 "inst54" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902566 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst54"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6288 584 760 6432 "inst54" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902566 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902566 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902566 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 27 " "Parameter \"LPM_SVALUE\" = \"27\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902566 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902566 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6288 584 760 6432 "inst54" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902566 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902566 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6288 584 760 6432 "inst54" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902566 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\"" { } { { "gA6_stack52.bdf" "inst57" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6512 584 760 6656 "inst57" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902582 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6512 584 760 6656 "inst57" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902582 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6512 584 760 6656 "inst57" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902582 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst57"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6512 584 760 6656 "inst57" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902582 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902582 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902582 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 28 " "Parameter \"LPM_SVALUE\" = \"28\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902582 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902582 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6512 584 760 6656 "inst57" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902582 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902582 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6512 584 760 6656 "inst57" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902597 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\"" { } { { "gA6_stack52.bdf" "inst59" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6736 584 760 6880 "inst59" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902597 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6736 584 760 6880 "inst59" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902597 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6736 584 760 6880 "inst59" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902597 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst59"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6736 584 760 6880 "inst59" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902597 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902597 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902597 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 29 " "Parameter \"LPM_SVALUE\" = \"29\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902597 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902597 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6736 584 760 6880 "inst59" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902597 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902597 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6736 584 760 6880 "inst59" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902597 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\"" { } { { "gA6_stack52.bdf" "inst61" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6960 584 760 7104 "inst61" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902613 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6960 584 760 7104 "inst61" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902613 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6960 584 760 7104 "inst61" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902613 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst61"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6960 584 760 7104 "inst61" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902613 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902613 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902613 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 30 " "Parameter \"LPM_SVALUE\" = \"30\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902613 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902613 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6960 584 760 7104 "inst61" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902613 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902613 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6960 584 760 7104 "inst61" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902613 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\"" { } { { "gA6_stack52.bdf" "inst63" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7184 584 760 7328 "inst63" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902629 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7184 584 760 7328 "inst63" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902629 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7184 584 760 7328 "inst63" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902629 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst63"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7184 584 760 7328 "inst63" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902629 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902629 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902629 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 31 " "Parameter \"LPM_SVALUE\" = \"31\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902629 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902629 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7184 584 760 7328 "inst63" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902629 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902629 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7184 584 760 7328 "inst63" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902629 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\"" { } { { "gA6_stack52.bdf" "inst65" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7408 584 760 7552 "inst65" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902644 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7408 584 760 7552 "inst65" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902644 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7408 584 760 7552 "inst65" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902644 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst65"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7408 584 760 7552 "inst65" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902644 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902644 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902644 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 32 " "Parameter \"LPM_SVALUE\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902644 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902644 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7408 584 760 7552 "inst65" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902644 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902644 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7408 584 760 7552 "inst65" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902660 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\"" { } { { "gA6_stack52.bdf" "inst67" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7632 584 760 7776 "inst67" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902660 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7632 584 760 7776 "inst67" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902660 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7632 584 760 7776 "inst67" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902660 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst67"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7632 584 760 7776 "inst67" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902660 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902660 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902660 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 33 " "Parameter \"LPM_SVALUE\" = \"33\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902660 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902660 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7632 584 760 7776 "inst67" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902660 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902676 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7632 584 760 7776 "inst67" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902676 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\"" { } { { "gA6_stack52.bdf" "inst68" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7856 584 760 8000 "inst68" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902676 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7856 584 760 8000 "inst68" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902676 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7856 584 760 8000 "inst68" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902676 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst68"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7856 584 760 8000 "inst68" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902676 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902676 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902676 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 34 " "Parameter \"LPM_SVALUE\" = \"34\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902676 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902676 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7856 584 760 8000 "inst68" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902676 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902691 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7856 584 760 8000 "inst68" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902691 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\"" { } { { "gA6_stack52.bdf" "inst71" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8080 584 760 8224 "inst71" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902707 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8080 584 760 8224 "inst71" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902707 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8080 584 760 8224 "inst71" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902707 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst71"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8080 584 760 8224 "inst71" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902707 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902707 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902707 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 35 " "Parameter \"LPM_SVALUE\" = \"35\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902707 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902707 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8080 584 760 8224 "inst71" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902707 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902707 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8080 584 760 8224 "inst71" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902707 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\"" { } { { "gA6_stack52.bdf" "inst73" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8304 584 760 8448 "inst73" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902722 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8304 584 760 8448 "inst73" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902722 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8304 584 760 8448 "inst73" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902722 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst73"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8304 584 760 8448 "inst73" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902722 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902722 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902722 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 36 " "Parameter \"LPM_SVALUE\" = \"36\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902722 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902722 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8304 584 760 8448 "inst73" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902722 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902722 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8304 584 760 8448 "inst73" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902722 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\"" { } { { "gA6_stack52.bdf" "inst75" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8528 584 760 8672 "inst75" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902738 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8528 584 760 8672 "inst75" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902738 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8528 584 760 8672 "inst75" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902738 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst75"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8528 584 760 8672 "inst75" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902738 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902738 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902738 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 37 " "Parameter \"LPM_SVALUE\" = \"37\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902738 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902738 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8528 584 760 8672 "inst75" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902738 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902738 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8528 584 760 8672 "inst75" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902738 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\"" { } { { "gA6_stack52.bdf" "inst77" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8752 584 760 8896 "inst77" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902754 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8752 584 760 8896 "inst77" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902754 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8752 584 760 8896 "inst77" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902754 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst77"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8752 584 760 8896 "inst77" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902754 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902754 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902754 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 38 " "Parameter \"LPM_SVALUE\" = \"38\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902754 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902754 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8752 584 760 8896 "inst77" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902754 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902754 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8752 584 760 8896 "inst77" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902769 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\"" { } { { "gA6_stack52.bdf" "inst79" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8976 584 760 9120 "inst79" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902785 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8976 584 760 9120 "inst79" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902785 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8976 584 760 9120 "inst79" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902785 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst79"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8976 584 760 9120 "inst79" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902785 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902785 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902785 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 39 " "Parameter \"LPM_SVALUE\" = \"39\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902785 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902785 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8976 584 760 9120 "inst79" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902785 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902785 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8976 584 760 9120 "inst79" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902785 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\"" { } { { "gA6_stack52.bdf" "inst81" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9200 584 760 9344 "inst81" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902801 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9200 584 760 9344 "inst81" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902801 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9200 584 760 9344 "inst81" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902801 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst81"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9200 584 760 9344 "inst81" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902801 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902801 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902801 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 40 " "Parameter \"LPM_SVALUE\" = \"40\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902801 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902801 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9200 584 760 9344 "inst81" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902801 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902801 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9200 584 760 9344 "inst81" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902816 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\"" { } { { "gA6_stack52.bdf" "inst82" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9424 584 760 9568 "inst82" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902816 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9424 584 760 9568 "inst82" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902816 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9424 584 760 9568 "inst82" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902816 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst82"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9424 584 760 9568 "inst82" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902816 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902816 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902816 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 41 " "Parameter \"LPM_SVALUE\" = \"41\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902816 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902816 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9424 584 760 9568 "inst82" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902816 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902832 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9424 584 760 9568 "inst82" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902832 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\"" { } { { "gA6_stack52.bdf" "inst85" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9648 584 760 9792 "inst85" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902832 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9648 584 760 9792 "inst85" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902832 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9648 584 760 9792 "inst85" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902832 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst85"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9648 584 760 9792 "inst85" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902832 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902832 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902832 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 42 " "Parameter \"LPM_SVALUE\" = \"42\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902832 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902832 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9648 584 760 9792 "inst85" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902832 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902847 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9648 584 760 9792 "inst85" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902847 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\"" { } { { "gA6_stack52.bdf" "inst87" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9872 584 760 10016 "inst87" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902847 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9872 584 760 10016 "inst87" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902863 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9872 584 760 10016 "inst87" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902863 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst87"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9872 584 760 10016 "inst87" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902863 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902863 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902863 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 43 " "Parameter \"LPM_SVALUE\" = \"43\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902863 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902863 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9872 584 760 10016 "inst87" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902863 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902863 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9872 584 760 10016 "inst87" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902863 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\"" { } { { "gA6_stack52.bdf" "inst89" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10096 584 760 10240 "inst89" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902879 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10096 584 760 10240 "inst89" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902879 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10096 584 760 10240 "inst89" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902879 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst89"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10096 584 760 10240 "inst89" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902879 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902879 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902879 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 44 " "Parameter \"LPM_SVALUE\" = \"44\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902879 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902879 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10096 584 760 10240 "inst89" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902879 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902879 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10096 584 760 10240 "inst89" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902879 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\"" { } { { "gA6_stack52.bdf" "inst91" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10320 584 760 10464 "inst91" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902894 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10320 584 760 10464 "inst91" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902894 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10320 584 760 10464 "inst91" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902894 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst91"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10320 584 760 10464 "inst91" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902894 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902894 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902894 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 45 " "Parameter \"LPM_SVALUE\" = \"45\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902894 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902894 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10320 584 760 10464 "inst91" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902894 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902894 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10320 584 760 10464 "inst91" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902894 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\"" { } { { "gA6_stack52.bdf" "inst93" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10544 584 760 10688 "inst93" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902910 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10544 584 760 10688 "inst93" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902910 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10544 584 760 10688 "inst93" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902910 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst93"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10544 584 760 10688 "inst93" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902910 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902910 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902910 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 46 " "Parameter \"LPM_SVALUE\" = \"46\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902910 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902910 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10544 584 760 10688 "inst93" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902910 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902910 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10544 584 760 10688 "inst93" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902926 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\"" { } { { "gA6_stack52.bdf" "inst95" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10768 584 760 10912 "inst95" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902926 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10768 584 760 10912 "inst95" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902926 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10768 584 760 10912 "inst95" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902926 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst95"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10768 584 760 10912 "inst95" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902926 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902926 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902926 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 47 " "Parameter \"LPM_SVALUE\" = \"47\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902926 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902926 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10768 584 760 10912 "inst95" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902926 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902926 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10768 584 760 10912 "inst95" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902941 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\"" { } { { "gA6_stack52.bdf" "inst96" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10992 584 760 11136 "inst96" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902941 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10992 584 760 11136 "inst96" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902941 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10992 584 760 11136 "inst96" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902941 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst96"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10992 584 760 11136 "inst96" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902941 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902941 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902941 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 48 " "Parameter \"LPM_SVALUE\" = \"48\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902941 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902941 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10992 584 760 11136 "inst96" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902941 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902957 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10992 584 760 11136 "inst96" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902957 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\"" { } { { "gA6_stack52.bdf" "inst99" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11216 584 760 11360 "inst99" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902972 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11216 584 760 11360 "inst99" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902972 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11216 584 760 11360 "inst99" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902972 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst99"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11216 584 760 11360 "inst99" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902972 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902972 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902972 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 49 " "Parameter \"LPM_SVALUE\" = \"49\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902972 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902972 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11216 584 760 11360 "inst99" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902972 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902972 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11216 584 760 11360 "inst99" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902988 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\"" { } { { "gA6_stack52.bdf" "inst101" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11440 584 760 11584 "inst101" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902988 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11440 584 760 11584 "inst101" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590902988 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11440 584 760 11584 "inst101" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590902988 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst101"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11440 584 760 11584 "inst101" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590902988 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902988 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902988 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 50 " "Parameter \"LPM_SVALUE\" = \"50\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902988 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590902988 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11440 584 760 11584 "inst101" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590902988 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590903004 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11440 584 760 11584 "inst101" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590903004 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\"" { } { { "gA6_stack52.bdf" "inst103" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11664 584 760 11808 "inst103" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590903004 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11664 584 760 11808 "inst103" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590903019 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11664 584 760 11808 "inst103" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590903019 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst103"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11664 584 760 11808 "inst103" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590903019 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590903019 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590903019 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 51 " "Parameter \"LPM_SVALUE\" = \"51\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590903019 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590903019 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11664 584 760 11808 "inst103" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590903019 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590903019 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11664 584 760 11808 "inst103" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590903019 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "BUSMUX gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst102 " "Elaborating entity \"BUSMUX\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst102\"" { } { { "gA6_stack52.bdf" "inst102" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11688 432 544 11776 "inst102" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590903019 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst102 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst102\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11688 432 544 11776 "inst102" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590903019 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst102 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst102\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH 6 " "Parameter \"WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590903019 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11688 432 544 11776 "inst102" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590903019 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_COMPARE gA6_testbed:inst\|LPM_COMPARE:inst1 " "Elaborating entity \"LPM_COMPARE\" for hierarchy \"gA6_testbed:inst\|LPM_COMPARE:inst1\"" { } { { "ga6_testbed.bdf" "inst1" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 256 264 392 384 "inst1" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590903035 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|LPM_COMPARE:inst1 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|LPM_COMPARE:inst1\"" { } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 256 264 392 384 "inst1" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590903035 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|LPM_COMPARE:inst1 " "Instantiated megafunction \"gA6_testbed:inst\|LPM_COMPARE:inst1\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 21 " "Parameter \"LPM_WIDTH\" = \"21\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590903035 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590903035 ""} } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 256 264 392 384 "inst1" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590903035 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_6cg.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_6cg.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_6cg " "Found entity 1: cmpr_6cg" { } { { "db/cmpr_6cg.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_6cg.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590903113 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590903113 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cmpr_6cg gA6_testbed:inst\|LPM_COMPARE:inst1\|cmpr_6cg:auto_generated " "Elaborating entity \"cmpr_6cg\" for hierarchy \"gA6_testbed:inst\|LPM_COMPARE:inst1\|cmpr_6cg:auto_generated\"" { } { { "lpm_compare.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_compare.tdf" 280 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590903113 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_COUNTER gA6_testbed:inst\|LPM_COUNTER:inst " "Elaborating entity \"LPM_COUNTER\" for hierarchy \"gA6_testbed:inst\|LPM_COUNTER:inst\"" { } { { "ga6_testbed.bdf" "inst" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 184 80 216 384 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590903129 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|LPM_COUNTER:inst " "Elaborated megafunction instantiation \"gA6_testbed:inst\|LPM_COUNTER:inst\"" { } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 184 80 216 384 "inst" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590903129 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|LPM_COUNTER:inst " "Instantiated megafunction \"gA6_testbed:inst\|LPM_COUNTER:inst\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 21 " "Parameter \"LPM_WIDTH\" = \"21\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590903129 ""} } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 184 80 216 384 "inst" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590903129 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_h1h.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_h1h.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_h1h " "Found entity 1: cntr_h1h" { } { { "db/cntr_h1h.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_h1h.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590903207 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590903207 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cntr_h1h gA6_testbed:inst\|LPM_COUNTER:inst\|cntr_h1h:auto_generated " "Elaborating entity \"cntr_h1h\" for hierarchy \"gA6_testbed:inst\|LPM_COUNTER:inst\|cntr_h1h:auto_generated\"" { } { { "lpm_counter.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_counter.tdf" 258 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590903207 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|lpm_constant:inst2 " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|lpm_constant:inst2\"" { } { { "ga6_testbed.bdf" "inst2" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 184 264 400 248 "inst2" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590903222 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|lpm_constant:inst2 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|lpm_constant:inst2\"" { } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 184 264 400 248 "inst2" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590903222 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|lpm_constant:inst2 " "Instantiated megafunction \"gA6_testbed:inst\|lpm_constant:inst2\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_CVALUE 20000000 " "Parameter \"LPM_CVALUE\" = \"20000000\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590903222 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 21 " "Parameter \"LPM_WIDTH\" = \"21\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590903222 ""} } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 184 264 400 248 "inst2" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590903222 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_7_segment_decoder gA6_testbed:inst\|gA6_7_segment_decoder:inst15 " "Elaborating entity \"gA6_7_segment_decoder\" for hierarchy \"gA6_testbed:inst\|gA6_7_segment_decoder:inst15\"" { } { { "ga6_testbed.bdf" "inst15" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 568 1040 1256 648 "inst15" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590903238 ""} -{ "Warning" "WSGN_SEARCH_FILE" "ga6_modulo_13.bdf 1 1 " "Using design file ga6_modulo_13.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_modulo_13 " "Found entity 1: gA6_modulo_13" { } { { "ga6_modulo_13.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590903254 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1509590903254 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_modulo_13 gA6_testbed:inst\|gA6_modulo_13:inst5 " "Elaborating entity \"gA6_modulo_13\" for hierarchy \"gA6_testbed:inst\|gA6_modulo_13:inst5\"" { } { { "ga6_testbed.bdf" "inst5" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 304 776 944 400 "inst5" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590903254 ""} -{ "Warning" "WGDFX_PRIMITIVE_IGNORED" "GND inst13 " "Primitive \"GND\" of instance \"inst13\" not used" { } { { "ga6_modulo_13.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { { 128 586 618 160 "inst13" "" } } } } } 0 275008 "Primitive \"%1!s!\" of instance \"%2!s!\" not used" 0 0 "Quartus II" 0 -1 1509590903269 ""} -{ "Warning" "WGDFX_PRIMITIVE_IGNORED" "GND inst7 " "Primitive \"GND\" of instance \"inst7\" not used" { } { { "ga6_modulo_13.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { { 272 410 442 304 "inst7" "" } } } } } 0 275008 "Primitive \"%1!s!\" of instance \"%2!s!\" not used" 0 0 "Quartus II" 0 -1 1509590903269 ""} -{ "Warning" "WGDFX_PRIMITIVE_IGNORED" "GND inst8 " "Primitive \"GND\" of instance \"inst8\" not used" { } { { "ga6_modulo_13.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { { 272 34 66 304 "inst8" "" } } } } } 0 275008 "Primitive \"%1!s!\" of instance \"%2!s!\" not used" 0 0 "Quartus II" 0 -1 1509590903269 ""} -{ "Warning" "WSGN_SEARCH_FILE" "ga6_adder8.bdf 1 1 " "Using design file ga6_adder8.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_adder8 " "Found entity 1: gA6_adder8" { } { { "ga6_adder8.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_adder8.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590903285 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1509590903285 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_adder8 gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_adder8:inst " "Elaborating entity \"gA6_adder8\" for hierarchy \"gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_adder8:inst\"" { } { { "ga6_modulo_13.bdf" "inst" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { { 136 130 258 232 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590903285 ""} -{ "Warning" "WSGN_SEARCH_FILE" "ga6_adder.bdf 1 1 " "Using design file ga6_adder.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_adder " "Found entity 1: gA6_adder" { } { { "ga6_adder.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_adder.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590903301 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1509590903301 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_adder gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_adder8:inst\|gA6_adder:inst7 " "Elaborating entity \"gA6_adder\" for hierarchy \"gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_adder8:inst\|gA6_adder:inst7\"" { } { { "ga6_adder8.bdf" "inst7" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_adder8.bdf" { { 200 48 144 296 "inst7" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590903316 ""} -{ "Warning" "WSGN_SEARCH_FILE" "ga6_adder6.bdf 1 1 " "Using design file ga6_adder6.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_adder6 " "Found entity 1: gA6_adder6" { } { { "ga6_adder6.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_adder6.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590903347 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1509590903347 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_adder6 gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_adder6:inst5 " "Elaborating entity \"gA6_adder6\" for hierarchy \"gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_adder6:inst5\"" { } { { "ga6_modulo_13.bdf" "inst5" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { { 280 74 202 376 "inst5" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590903363 ""} -{ "Warning" "WSGN_SEARCH_FILE" "ga6_not6.bdf 1 1 " "Using design file ga6_not6.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_not6 " "Found entity 1: gA6_not6" { } { { "ga6_not6.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_not6.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590903379 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1509590903379 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_not6 gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_not6:inst15 " "Elaborating entity \"gA6_not6\" for hierarchy \"gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_not6:inst15\"" { } { { "ga6_modulo_13.bdf" "inst15" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { { 296 258 386 368 "inst15" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590903394 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_2q14.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_2q14.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_2q14 " "Found entity 1: altsyncram_2q14" { } { { "db/altsyncram_2q14.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/altsyncram_2q14.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590905038 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590905038 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mux_aoc.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mux_aoc.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mux_aoc " "Found entity 1: mux_aoc" { } { { "db/mux_aoc.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/mux_aoc.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590905335 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590905335 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/decode_rqf.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/decode_rqf.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 decode_rqf " "Found entity 1: decode_rqf" { } { { "db/decode_rqf.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/decode_rqf.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590905460 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590905460 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_2ci.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_2ci.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_2ci " "Found entity 1: cntr_2ci" { } { { "db/cntr_2ci.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_2ci.tdf" 30 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590905663 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590905663 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_acc.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_acc.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_acc " "Found entity 1: cmpr_acc" { } { { "db/cmpr_acc.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_acc.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590905757 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590905757 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_02j.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_02j.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_02j " "Found entity 1: cntr_02j" { } { { "db/cntr_02j.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_02j.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590905929 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590905929 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_sbi.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_sbi.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_sbi " "Found entity 1: cntr_sbi" { } { { "db/cntr_sbi.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_sbi.tdf" 30 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590906085 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590906085 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_8cc.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_8cc.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_8cc " "Found entity 1: cmpr_8cc" { } { { "db/cmpr_8cc.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_8cc.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590906163 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590906163 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_gui.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_gui.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_gui " "Found entity 1: cntr_gui" { } { { "db/cntr_gui.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_gui.tdf" 30 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590906335 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590906335 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_5cc.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_5cc.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_5cc " "Found entity 1: cmpr_5cc" { } { { "db/cmpr_5cc.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_5cc.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590906413 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590906413 ""} -{ "Info" "ISGN_AE_SUCCESSFUL" "auto_signaltap_0 " "Analysis and Synthesis generated SignalTap II or debug node instance \"auto_signaltap_0\"" { } { } 0 12033 "Analysis and Synthesis generated SignalTap II or debug node instance \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590906523 ""} -{ "Warning" "WMLS_MLS_CONVERT_TRI_TO_OR_HDR" "" "Tri-state node(s) do not directly drive top-level pin(s)" { { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[51\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[51\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[50\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[50\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[49\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[49\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[48\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[48\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[47\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[47\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[46\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[46\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[45\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[45\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[44\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[44\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[43\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[43\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[42\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[42\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[41\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[41\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[40\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[40\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[39\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[39\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[38\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[38\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[37\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[37\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[36\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[36\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[35\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[35\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[34\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[34\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[33\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[33\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[32\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[32\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[31\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[31\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[30\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[30\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[29\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[29\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[28\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[28\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[27\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[27\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[26\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[26\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[25\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[25\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[24\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[24\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[23\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[23\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[22\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[22\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[21\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[21\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[20\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[20\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[19\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[19\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[18\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[18\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[17\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[17\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[16\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[16\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[15\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[15\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[14\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[14\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[13\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[13\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[12\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[12\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[11\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[11\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[10\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[10\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[9\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[9\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[8\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[8\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[7\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[7\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[6\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[6\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[5\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[5\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[4\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[4\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[3\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[3\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[2\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[2\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[1\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[1\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[0\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[0\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590907523 ""} } { } 0 13046 "Tri-state node(s) do not directly drive top-level pin(s)" 0 0 "Quartus II" 0 -1 1509590907523 ""} -{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[6\] GND " "Pin \"led_empty\[6\]\" is stuck at GND" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509590910179 "|gA6_lab3|led_empty[6]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[5\] VCC " "Pin \"led_empty\[5\]\" is stuck at VCC" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509590910179 "|gA6_lab3|led_empty[5]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[4\] VCC " "Pin \"led_empty\[4\]\" is stuck at VCC" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509590910179 "|gA6_lab3|led_empty[4]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[3\] VCC " "Pin \"led_empty\[3\]\" is stuck at VCC" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509590910179 "|gA6_lab3|led_empty[3]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[2\] VCC " "Pin \"led_empty\[2\]\" is stuck at VCC" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509590910179 "|gA6_lab3|led_empty[2]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[1\] VCC " "Pin \"led_empty\[1\]\" is stuck at VCC" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509590910179 "|gA6_lab3|led_empty[1]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[0\] VCC " "Pin \"led_empty\[0\]\" is stuck at VCC" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509590910179 "|gA6_lab3|led_empty[0]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_mode\[1\] GND " "Pin \"led_mode\[1\]\" is stuck at GND" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 144 320 496 160 "led_mode\[6..0\]" "" } { 328 416 530 340 "led_mode\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509590910179 "|gA6_lab3|led_mode[1]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1509590910179 ""} -{ "Info" "IMLS_MLS_PRESET_POWER_UP" "" "Registers with preset signals will power-up high" { } { { "sld_buffer_manager.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_buffer_manager.vhd" 350 -1 0 } } } 0 13000 "Registers with preset signals will power-up high" 0 0 "Quartus II" 0 -1 1509590912226 ""} -{ "Info" "IMLS_MLS_DEV_CLRN_SETS_REGISTERS" "" "DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back" { } { } 0 13003 "DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back" 0 0 "Quartus II" 0 -1 1509590912226 ""} -{ "Warning" "WFTM_IGNORED_NOT_GATE_PUSH_ASSIGNMENT" "sld_signaltap:auto_signaltap_0\|sld_signaltap_impl:sld_signaltap_body\|sld_signaltap_implb:sld_signaltap_body\|trigger_out_mode_ff " "The assignment to disallow NOT gate push-back on register \"sld_signaltap:auto_signaltap_0\|sld_signaltap_impl:sld_signaltap_body\|sld_signaltap_implb:sld_signaltap_body\|trigger_out_mode_ff\" is ignored" { } { { "sld_signaltap_impl.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_signaltap_impl.vhd" 942 -1 0 } } } 0 18057 "The assignment to disallow NOT gate push-back on register \"%1!s!\" is ignored" 0 0 "Quartus II" 0 -1 1509590912492 ""} -{ "Info" "IMLS_MLS_PRESET_POWER_UP" "" "Registers with preset signals will power-up high" { } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 384 -1 0 } } { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 521 -1 0 } } } 0 13000 "Registers with preset signals will power-up high" 0 0 "Quartus II" 0 -1 1509590913023 ""} -{ "Info" "IMLS_MLS_DEV_CLRN_SETS_REGISTERS" "" "DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back" { } { } 0 13003 "DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back" 0 0 "Quartus II" 0 -1 1509590913023 ""} -{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "sld_hub:auto_hub\|receive\[0\]\[0\] GND " "Pin \"sld_hub:auto_hub\|receive\[0\]\[0\]\" is stuck at GND" { } { { "sld_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_hub.vhd" 181 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509590913086 "|gA6_lab3|sld_hub:auto_hub|receive[0][0]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1509590913086 ""} -{ "Info" "IAMERGE_SLD_INSTANCE_WITH_FULL_CONNECTIONS" "auto_signaltap_0 93 " "Succesfully connected in-system debug instance \"auto_signaltap_0\" to all 93 required data inputs, trigger inputs, acquisition clocks, and dynamic pins" { } { } 0 35024 "Succesfully connected in-system debug instance \"%1!s!\" to all %2!d! required data inputs, trigger inputs, acquisition clocks, and dynamic pins" 0 0 "Quartus II" 0 -1 1509590914367 ""} -{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Quartus II" 0 -1 1509590914414 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590914414 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "1948 " "Implemented 1948 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "15 " "Implemented 15 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1509590915055 ""} { "Info" "ICUT_CUT_TM_OPINS" "43 " "Implemented 43 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1509590915055 ""} { "Info" "ICUT_CUT_TM_LCELLS" "1791 " "Implemented 1791 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1509590915055 ""} { "Info" "ICUT_CUT_TM_RAMS" "98 " "Implemented 98 RAM segments" { } { } 0 21064 "Implemented %1!d! RAM segments" 0 0 "Quartus II" 0 -1 1509590915055 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1509590915055 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 343 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 343 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "638 " "Peak virtual memory: 638 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1509590915367 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Nov 01 22:48:35 2017 " "Processing ended: Wed Nov 01 22:48:35 2017" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1509590915367 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:17 " "Elapsed time: 00:00:17" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1509590915367 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:15 " "Total CPU time (on all processors): 00:00:15" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1509590915367 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1509590915367 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1509605619319 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition " "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1509605619321 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Nov 02 02:53:38 2017 " "Processing started: Thu Nov 02 02:53:38 2017" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1509605619321 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1509605619321 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off gA6_lab3 -c gA6_lab3 " "Command: quartus_map --read_settings_files=on --write_settings_files=off gA6_lab3 -c gA6_lab3" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1509605619321 ""} +{ "Warning" "WACF_MISSING_TCL_FILE" "lpm_counter0.qip " "Tcl Script File lpm_counter0.qip not found" { { "Info" "IACF_ACF_ASSIGNMENT_INFO" "set_global_assignment -name QIP_FILE lpm_counter0.qip " "set_global_assignment -name QIP_FILE lpm_counter0.qip" { } { } 0 125063 "%1!s!" 0 0 "Quartus II" 0 -1 1509605620188 ""} } { } 0 125092 "Tcl Script File %1!s! not found" 0 0 "Quartus II" 0 -1 1509605620188 ""} +{ "Warning" "WACF_MISSING_TCL_FILE" "lpm_counter1.qip " "Tcl Script File lpm_counter1.qip not found" { { "Info" "IACF_ACF_ASSIGNMENT_INFO" "set_global_assignment -name QIP_FILE lpm_counter1.qip " "set_global_assignment -name QIP_FILE lpm_counter1.qip" { } { } 0 125063 "%1!s!" 0 0 "Quartus II" 0 -1 1509605620188 ""} } { } 0 125092 "Tcl Script File %1!s! not found" 0 0 "Quartus II" 0 -1 1509605620188 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS_MORE_LOGICAL" "2 2 4 " "Parallel Compilation has detected 4 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 2 of the 2 physical processors detected instead." { } { } 0 11104 "Parallel Compilation has detected %3!i! hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use %1!i! of the %2!i! physical processors detected instead." 0 0 "Quartus II" 0 -1 1509605623506 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "lpm_mux0.vhd 2 1 " "Found 2 design units, including 1 entities, in source file lpm_mux0.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 lpm_mux0-SYN " "Found design unit 1: lpm_mux0-SYN" { } { { "lpm_mux0.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/lpm_mux0.vhd" 103 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605628189 ""} { "Info" "ISGN_ENTITY_NAME" "1 lpm_mux0 " "Found entity 1: lpm_mux0" { } { { "lpm_mux0.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/lpm_mux0.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605628189 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509605628189 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ga6_stack52.bdf 1 1 " "Found 1 design units, including 1 entities, in source file ga6_stack52.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_stack52 " "Found entity 1: gA6_stack52" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605628315 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509605628315 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ga6_pop_enable.vhd 2 1 " "Found 2 design units, including 1 entities, in source file ga6_pop_enable.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 gA6_pop_enable-lookup " "Found design unit 1: gA6_pop_enable-lookup" { } { { "gA6_pop_enable.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 22 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605628354 ""} { "Info" "ISGN_ENTITY_NAME" "1 gA6_pop_enable " "Found entity 1: gA6_pop_enable" { } { { "gA6_pop_enable.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 14 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605628354 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509605628354 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ga6_ff_enable52.bdf 1 1 " "Found 1 design units, including 1 entities, in source file ga6_ff_enable52.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_ff_enable52 " "Found entity 1: gA6_ff_enable52" { } { { "gA6_ff_enable52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_ff_enable52.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605628426 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509605628426 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ga6_lab3.bdf 1 1 " "Found 1 design units, including 1 entities, in source file ga6_lab3.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_lab3 " "Found entity 1: gA6_lab3" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605628467 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509605628467 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ga6_7_segment_decoder.vhd 2 1 " "Found 2 design units, including 1 entities, in source file ga6_7_segment_decoder.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 gA6_7_segment_decoder-behavior " "Found design unit 1: gA6_7_segment_decoder-behavior" { } { { "gA6_7_segment_decoder.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_7_segment_decoder.vhd" 20 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605628503 ""} { "Info" "ISGN_ENTITY_NAME" "1 gA6_7_segment_decoder " "Found entity 1: gA6_7_segment_decoder" { } { { "gA6_7_segment_decoder.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_7_segment_decoder.vhd" 12 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605628503 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509605628503 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ga6_lab3_v1.bdf 1 1 " "Found 1 design units, including 1 entities, in source file ga6_lab3_v1.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_lab3_v1 " "Found entity 1: gA6_lab3_v1" { } { { "gA6_lab3_v1.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3_v1.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605628542 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509605628542 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "gA6_lab3 " "Elaborating entity \"gA6_lab3\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1509605633544 ""} +{ "Warning" "WSGN_SEARCH_FILE" "ga6_testbed.bdf 1 1 " "Using design file ga6_testbed.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_testbed " "Found entity 1: gA6_testbed" { } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605633837 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1509605633837 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_testbed gA6_testbed:inst " "Elaborating entity \"gA6_testbed\" for hierarchy \"gA6_testbed:inst\"" { } { { "gA6_lab3.bdf" "inst" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 272 192 416 464 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605633841 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_stack52 gA6_testbed:inst\|gA6_stack52:inst4 " "Elaborating entity \"gA6_stack52\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\"" { } { { "ga6_testbed.bdf" "inst4" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 304 528 704 464 "inst4" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634293 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value0\[5..0\] value " "Bus \"value0\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 312 784 851 328 "value0\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634363 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value1\[5..0\] value " "Bus \"value1\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 536 784 853 552 "value1\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634364 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value2\[5..0\] value " "Bus \"value2\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 760 784 855 776 "value2\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634364 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value3\[5..0\] value " "Bus \"value3\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 984 784 856 1000 "value3\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634364 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value4\[5..0\] value " "Bus \"value4\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1208 784 857 1224 "value4\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634364 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value5\[5..0\] value " "Bus \"value5\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1432 784 855 1448 "value5\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634364 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value10\[5..0\] value1 " "Bus \"value10\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2552 784 862 2568 "value10\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634364 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value11\[5..0\] value1 " "Bus \"value11\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2776 784 861 2792 "value11\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634364 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value12\[5..0\] value1 " "Bus \"value12\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3000 784 860 3016 "value12\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634365 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value13\[5..0\] value1 " "Bus \"value13\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3224 784 857 3240 "value13\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634365 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value14\[5..0\] value1 " "Bus \"value14\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3448 784 855 3464 "value14\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634365 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value15\[5..0\] value1 " "Bus \"value15\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3672 784 856 3688 "value15\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634365 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value20\[5..0\] value2 " "Bus \"value20\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4792 784 855 4808 "value20\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634365 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value21\[5..0\] value2 " "Bus \"value21\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5016 784 853 5032 "value21\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634365 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value22\[5..0\] value2 " "Bus \"value22\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5240 784 857 5256 "value22\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634366 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value23\[5..0\] value2 " "Bus \"value23\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5464 784 855 5480 "value23\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634366 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value24\[5..0\] value2 " "Bus \"value24\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5688 784 854 5704 "value24\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634366 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value25\[5..0\] value2 " "Bus \"value25\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5912 784 856 5928 "value25\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634366 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value30\[5..0\] value3 " "Bus \"value30\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7032 784 859 7048 "value30\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634366 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value31\[5..0\] value3 " "Bus \"value31\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7256 784 854 7272 "value31\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634366 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value32\[5..0\] value3 " "Bus \"value32\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7480 784 857 7496 "value32\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634367 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value33\[5..0\] value3 " "Bus \"value33\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7704 784 853 7720 "value33\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634367 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value34\[5..0\] value3 " "Bus \"value34\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7928 784 854 7944 "value34\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634367 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value35\[5..0\] value3 " "Bus \"value35\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8152 784 857 8168 "value35\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634367 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value40\[5..0\] value4 " "Bus \"value40\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9272 784 854 9288 "value40\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634367 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value41\[5..0\] value4 " "Bus \"value41\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9496 784 852 9512 "value41\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634367 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value42\[5..0\] value4 " "Bus \"value42\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9720 784 856 9736 "value42\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634367 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value43\[5..0\] value4 " "Bus \"value43\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9944 784 857 9960 "value43\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634368 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value44\[5..0\] value4 " "Bus \"value44\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10168 784 857 10184 "value44\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634368 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value45\[5..0\] value4 " "Bus \"value45\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10392 784 857 10408 "value45\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634368 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value50\[5..0\] value5 " "Bus \"value50\[5..0\]\" found using same base name as \"value5\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11512 784 857 11528 "value50\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634368 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value51\[5..0\] value5 " "Bus \"value51\[5..0\]\" found using same base name as \"value5\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11736 784 859 11752 "value51\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634368 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value51\[5..0\] value5 " "Bus \"value51\[5..0\]\" found using same base name as \"value5\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 72 992 1061 88 "value51\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634368 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value50\[5..0\] value5 " "Bus \"value50\[5..0\]\" found using same base name as \"value5\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 88 992 1065 104 "value50\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634368 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value45\[5..0\] value4 " "Bus \"value45\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 168 992 1059 184 "value45\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634369 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value44\[5..0\] value4 " "Bus \"value44\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 184 992 1058 200 "value44\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634369 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value43\[5..0\] value4 " "Bus \"value43\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 200 992 1056 216 "value43\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634369 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value42\[5..0\] value4 " "Bus \"value42\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 216 992 1059 232 "value42\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634369 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value41\[5..0\] value4 " "Bus \"value41\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 232 992 1058 248 "value41\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634369 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value40\[5..0\] value4 " "Bus \"value40\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 248 992 1059 264 "value40\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634369 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value35\[5..0\] value3 " "Bus \"value35\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 328 992 1058 344 "value35\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634370 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value34\[5..0\] value3 " "Bus \"value34\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 344 992 1062 360 "value34\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634370 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value33\[5..0\] value3 " "Bus \"value33\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 360 992 1064 376 "value33\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634370 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value32\[5..0\] value3 " "Bus \"value32\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 376 992 1065 392 "value32\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634370 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value31\[5..0\] value3 " "Bus \"value31\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 392 992 1064 408 "value31\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634370 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value30\[5..0\] value3 " "Bus \"value30\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 408 992 1061 424 "value30\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634371 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value25\[5..0\] value2 " "Bus \"value25\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 488 992 1061 504 "value25\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634371 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value24\[5..0\] value2 " "Bus \"value24\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 504 992 1061 520 "value24\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634371 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value23\[5..0\] value2 " "Bus \"value23\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 520 992 1059 536 "value23\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634371 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value22\[5..0\] value2 " "Bus \"value22\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 536 992 1063 552 "value22\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634371 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value21\[5..0\] value2 " "Bus \"value21\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 552 992 1065 568 "value21\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634371 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value20\[5..0\] value2 " "Bus \"value20\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 568 992 1067 584 "value20\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634372 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value15\[5..0\] value1 " "Bus \"value15\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 648 992 1063 664 "value15\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634372 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value14\[5..0\] value1 " "Bus \"value14\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 664 992 1062 680 "value14\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634372 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value13\[5..0\] value1 " "Bus \"value13\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 680 992 1061 696 "value13\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634372 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value12\[5..0\] value1 " "Bus \"value12\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 696 992 1061 712 "value12\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634372 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value11\[5..0\] value1 " "Bus \"value11\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 712 992 1059 728 "value11\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634373 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value10\[5..0\] value1 " "Bus \"value10\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 728 992 1060 744 "value10\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634373 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value5\[5..0\] value " "Bus \"value5\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 808 992 1064 824 "value5\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634373 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value4\[5..0\] value " "Bus \"value4\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 824 992 1058 840 "value4\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634373 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value3\[5..0\] value " "Bus \"value3\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 840 992 1061 856 "value3\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634373 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value2\[5..0\] value " "Bus \"value2\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 856 992 1056 872 "value2\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634373 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value1\[5..0\] value " "Bus \"value1\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 872 992 1057 888 "value1\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634374 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value0\[5..0\] value " "Bus \"value0\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 888 992 1059 904 "value0\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509605634374 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value " "Converted elements in bus name \"value\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value\[5..0\] value5..0 " "Converted element name(s) from \"value\[5..0\]\" to \"value5..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 64 592 768 80 "value\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634375 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 64 592 768 80 "value\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634375 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value0 " "Converted elements in bus name \"value0\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value0\[5..0\] value05..0 " "Converted element name(s) from \"value0\[5..0\]\" to \"value05..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 312 784 851 328 "value0\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634375 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value0\[5..0\] value05..0 " "Converted element name(s) from \"value0\[5..0\]\" to \"value05..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 888 992 1059 904 "value0\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634375 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 312 784 851 328 "value0\[5..0\]" "" } { 888 992 1059 904 "value0\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634375 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value1 " "Converted elements in bus name \"value1\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value1\[5..0\] value15..0 " "Converted element name(s) from \"value1\[5..0\]\" to \"value15..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 536 784 853 552 "value1\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634375 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value1\[5..0\] value15..0 " "Converted element name(s) from \"value1\[5..0\]\" to \"value15..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 872 992 1057 888 "value1\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634375 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 536 784 853 552 "value1\[5..0\]" "" } { 872 992 1057 888 "value1\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634375 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value10 " "Converted elements in bus name \"value10\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value10\[5..0\] value105..0 " "Converted element name(s) from \"value10\[5..0\]\" to \"value105..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2552 784 862 2568 "value10\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634376 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value10\[5..0\] value105..0 " "Converted element name(s) from \"value10\[5..0\]\" to \"value105..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 728 992 1060 744 "value10\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634376 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2552 784 862 2568 "value10\[5..0\]" "" } { 728 992 1060 744 "value10\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634376 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value11 " "Converted elements in bus name \"value11\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value11\[5..0\] value115..0 " "Converted element name(s) from \"value11\[5..0\]\" to \"value115..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2776 784 861 2792 "value11\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634376 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value11\[5..0\] value115..0 " "Converted element name(s) from \"value11\[5..0\]\" to \"value115..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 712 992 1059 728 "value11\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634376 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2776 784 861 2792 "value11\[5..0\]" "" } { 712 992 1059 728 "value11\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634376 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value12 " "Converted elements in bus name \"value12\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value12\[5..0\] value125..0 " "Converted element name(s) from \"value12\[5..0\]\" to \"value125..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3000 784 860 3016 "value12\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634376 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value12\[5..0\] value125..0 " "Converted element name(s) from \"value12\[5..0\]\" to \"value125..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 696 992 1061 712 "value12\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634376 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3000 784 860 3016 "value12\[5..0\]" "" } { 696 992 1061 712 "value12\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634376 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value13 " "Converted elements in bus name \"value13\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value13\[5..0\] value135..0 " "Converted element name(s) from \"value13\[5..0\]\" to \"value135..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3224 784 857 3240 "value13\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634377 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value13\[5..0\] value135..0 " "Converted element name(s) from \"value13\[5..0\]\" to \"value135..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 680 992 1061 696 "value13\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634377 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3224 784 857 3240 "value13\[5..0\]" "" } { 680 992 1061 696 "value13\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634377 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value14 " "Converted elements in bus name \"value14\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value14\[5..0\] value145..0 " "Converted element name(s) from \"value14\[5..0\]\" to \"value145..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3448 784 855 3464 "value14\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634377 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value14\[5..0\] value145..0 " "Converted element name(s) from \"value14\[5..0\]\" to \"value145..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 664 992 1062 680 "value14\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634377 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3448 784 855 3464 "value14\[5..0\]" "" } { 664 992 1062 680 "value14\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634377 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value15 " "Converted elements in bus name \"value15\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value15\[5..0\] value155..0 " "Converted element name(s) from \"value15\[5..0\]\" to \"value155..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3672 784 856 3688 "value15\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634378 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value15\[5..0\] value155..0 " "Converted element name(s) from \"value15\[5..0\]\" to \"value155..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 648 992 1063 664 "value15\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634378 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3672 784 856 3688 "value15\[5..0\]" "" } { 648 992 1063 664 "value15\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634378 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value2 " "Converted elements in bus name \"value2\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value2\[5..0\] value25..0 " "Converted element name(s) from \"value2\[5..0\]\" to \"value25..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 760 784 855 776 "value2\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634379 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value2\[5..0\] value25..0 " "Converted element name(s) from \"value2\[5..0\]\" to \"value25..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 856 992 1056 872 "value2\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634379 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 760 784 855 776 "value2\[5..0\]" "" } { 856 992 1056 872 "value2\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634379 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value20 " "Converted elements in bus name \"value20\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value20\[5..0\] value205..0 " "Converted element name(s) from \"value20\[5..0\]\" to \"value205..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4792 784 855 4808 "value20\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634380 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value20\[5..0\] value205..0 " "Converted element name(s) from \"value20\[5..0\]\" to \"value205..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 568 992 1067 584 "value20\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634380 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4792 784 855 4808 "value20\[5..0\]" "" } { 568 992 1067 584 "value20\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634380 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value21 " "Converted elements in bus name \"value21\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value21\[5..0\] value215..0 " "Converted element name(s) from \"value21\[5..0\]\" to \"value215..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5016 784 853 5032 "value21\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634380 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value21\[5..0\] value215..0 " "Converted element name(s) from \"value21\[5..0\]\" to \"value215..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 552 992 1065 568 "value21\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634380 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5016 784 853 5032 "value21\[5..0\]" "" } { 552 992 1065 568 "value21\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634380 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value22 " "Converted elements in bus name \"value22\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value22\[5..0\] value225..0 " "Converted element name(s) from \"value22\[5..0\]\" to \"value225..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5240 784 857 5256 "value22\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634381 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value22\[5..0\] value225..0 " "Converted element name(s) from \"value22\[5..0\]\" to \"value225..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 536 992 1063 552 "value22\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634381 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5240 784 857 5256 "value22\[5..0\]" "" } { 536 992 1063 552 "value22\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634381 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value23 " "Converted elements in bus name \"value23\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value23\[5..0\] value235..0 " "Converted element name(s) from \"value23\[5..0\]\" to \"value235..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5464 784 855 5480 "value23\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634381 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value23\[5..0\] value235..0 " "Converted element name(s) from \"value23\[5..0\]\" to \"value235..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 520 992 1059 536 "value23\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634381 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5464 784 855 5480 "value23\[5..0\]" "" } { 520 992 1059 536 "value23\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634381 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value24 " "Converted elements in bus name \"value24\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value24\[5..0\] value245..0 " "Converted element name(s) from \"value24\[5..0\]\" to \"value245..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5688 784 854 5704 "value24\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634382 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value24\[5..0\] value245..0 " "Converted element name(s) from \"value24\[5..0\]\" to \"value245..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 504 992 1061 520 "value24\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634382 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5688 784 854 5704 "value24\[5..0\]" "" } { 504 992 1061 520 "value24\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634382 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value25 " "Converted elements in bus name \"value25\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value25\[5..0\] value255..0 " "Converted element name(s) from \"value25\[5..0\]\" to \"value255..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5912 784 856 5928 "value25\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634382 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value25\[5..0\] value255..0 " "Converted element name(s) from \"value25\[5..0\]\" to \"value255..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 488 992 1061 504 "value25\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634382 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5912 784 856 5928 "value25\[5..0\]" "" } { 488 992 1061 504 "value25\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634382 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value3 " "Converted elements in bus name \"value3\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value3\[5..0\] value35..0 " "Converted element name(s) from \"value3\[5..0\]\" to \"value35..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 984 784 856 1000 "value3\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634383 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value3\[5..0\] value35..0 " "Converted element name(s) from \"value3\[5..0\]\" to \"value35..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 840 992 1061 856 "value3\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634383 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 984 784 856 1000 "value3\[5..0\]" "" } { 840 992 1061 856 "value3\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634383 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value30 " "Converted elements in bus name \"value30\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value30\[5..0\] value305..0 " "Converted element name(s) from \"value30\[5..0\]\" to \"value305..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7032 784 859 7048 "value30\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634383 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value30\[5..0\] value305..0 " "Converted element name(s) from \"value30\[5..0\]\" to \"value305..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 408 992 1061 424 "value30\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634383 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7032 784 859 7048 "value30\[5..0\]" "" } { 408 992 1061 424 "value30\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634383 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value31 " "Converted elements in bus name \"value31\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value31\[5..0\] value315..0 " "Converted element name(s) from \"value31\[5..0\]\" to \"value315..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7256 784 854 7272 "value31\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634383 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value31\[5..0\] value315..0 " "Converted element name(s) from \"value31\[5..0\]\" to \"value315..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 392 992 1064 408 "value31\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634383 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7256 784 854 7272 "value31\[5..0\]" "" } { 392 992 1064 408 "value31\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634383 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value32 " "Converted elements in bus name \"value32\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value32\[5..0\] value325..0 " "Converted element name(s) from \"value32\[5..0\]\" to \"value325..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7480 784 857 7496 "value32\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634384 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value32\[5..0\] value325..0 " "Converted element name(s) from \"value32\[5..0\]\" to \"value325..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 376 992 1065 392 "value32\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634384 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7480 784 857 7496 "value32\[5..0\]" "" } { 376 992 1065 392 "value32\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634384 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value33 " "Converted elements in bus name \"value33\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value33\[5..0\] value335..0 " "Converted element name(s) from \"value33\[5..0\]\" to \"value335..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7704 784 853 7720 "value33\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634384 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value33\[5..0\] value335..0 " "Converted element name(s) from \"value33\[5..0\]\" to \"value335..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 360 992 1064 376 "value33\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634384 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7704 784 853 7720 "value33\[5..0\]" "" } { 360 992 1064 376 "value33\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634384 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value34 " "Converted elements in bus name \"value34\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value34\[5..0\] value345..0 " "Converted element name(s) from \"value34\[5..0\]\" to \"value345..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7928 784 854 7944 "value34\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634386 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value34\[5..0\] value345..0 " "Converted element name(s) from \"value34\[5..0\]\" to \"value345..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 344 992 1062 360 "value34\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634386 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7928 784 854 7944 "value34\[5..0\]" "" } { 344 992 1062 360 "value34\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634386 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value35 " "Converted elements in bus name \"value35\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value35\[5..0\] value355..0 " "Converted element name(s) from \"value35\[5..0\]\" to \"value355..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8152 784 857 8168 "value35\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634388 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value35\[5..0\] value355..0 " "Converted element name(s) from \"value35\[5..0\]\" to \"value355..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 328 992 1058 344 "value35\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634388 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8152 784 857 8168 "value35\[5..0\]" "" } { 328 992 1058 344 "value35\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634388 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value4 " "Converted elements in bus name \"value4\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value4\[5..0\] value45..0 " "Converted element name(s) from \"value4\[5..0\]\" to \"value45..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1208 784 857 1224 "value4\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634389 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value4\[5..0\] value45..0 " "Converted element name(s) from \"value4\[5..0\]\" to \"value45..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 824 992 1058 840 "value4\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634389 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1208 784 857 1224 "value4\[5..0\]" "" } { 824 992 1058 840 "value4\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634389 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value40 " "Converted elements in bus name \"value40\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value40\[5..0\] value405..0 " "Converted element name(s) from \"value40\[5..0\]\" to \"value405..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9272 784 854 9288 "value40\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634391 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value40\[5..0\] value405..0 " "Converted element name(s) from \"value40\[5..0\]\" to \"value405..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 248 992 1059 264 "value40\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634391 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9272 784 854 9288 "value40\[5..0\]" "" } { 248 992 1059 264 "value40\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634391 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value41 " "Converted elements in bus name \"value41\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value41\[5..0\] value415..0 " "Converted element name(s) from \"value41\[5..0\]\" to \"value415..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9496 784 852 9512 "value41\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634392 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value41\[5..0\] value415..0 " "Converted element name(s) from \"value41\[5..0\]\" to \"value415..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 232 992 1058 248 "value41\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634392 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9496 784 852 9512 "value41\[5..0\]" "" } { 232 992 1058 248 "value41\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634392 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value42 " "Converted elements in bus name \"value42\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value42\[5..0\] value425..0 " "Converted element name(s) from \"value42\[5..0\]\" to \"value425..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9720 784 856 9736 "value42\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634393 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value42\[5..0\] value425..0 " "Converted element name(s) from \"value42\[5..0\]\" to \"value425..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 216 992 1059 232 "value42\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634393 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9720 784 856 9736 "value42\[5..0\]" "" } { 216 992 1059 232 "value42\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634393 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value43 " "Converted elements in bus name \"value43\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value43\[5..0\] value435..0 " "Converted element name(s) from \"value43\[5..0\]\" to \"value435..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9944 784 857 9960 "value43\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634394 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value43\[5..0\] value435..0 " "Converted element name(s) from \"value43\[5..0\]\" to \"value435..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 200 992 1056 216 "value43\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634394 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9944 784 857 9960 "value43\[5..0\]" "" } { 200 992 1056 216 "value43\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634394 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value44 " "Converted elements in bus name \"value44\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value44\[5..0\] value445..0 " "Converted element name(s) from \"value44\[5..0\]\" to \"value445..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10168 784 857 10184 "value44\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634398 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value44\[5..0\] value445..0 " "Converted element name(s) from \"value44\[5..0\]\" to \"value445..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 184 992 1058 200 "value44\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634398 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10168 784 857 10184 "value44\[5..0\]" "" } { 184 992 1058 200 "value44\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634398 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value45 " "Converted elements in bus name \"value45\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value45\[5..0\] value455..0 " "Converted element name(s) from \"value45\[5..0\]\" to \"value455..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10392 784 857 10408 "value45\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634399 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value45\[5..0\] value455..0 " "Converted element name(s) from \"value45\[5..0\]\" to \"value455..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 168 992 1059 184 "value45\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634399 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10392 784 857 10408 "value45\[5..0\]" "" } { 168 992 1059 184 "value45\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634399 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value5 " "Converted elements in bus name \"value5\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value5\[5..0\] value55..0 " "Converted element name(s) from \"value5\[5..0\]\" to \"value55..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1432 784 855 1448 "value5\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634400 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value5\[5..0\] value55..0 " "Converted element name(s) from \"value5\[5..0\]\" to \"value55..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 808 992 1064 824 "value5\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634400 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1432 784 855 1448 "value5\[5..0\]" "" } { 808 992 1064 824 "value5\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634400 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value50 " "Converted elements in bus name \"value50\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value50\[5..0\] value505..0 " "Converted element name(s) from \"value50\[5..0\]\" to \"value505..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11512 784 857 11528 "value50\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634401 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value50\[5..0\] value505..0 " "Converted element name(s) from \"value50\[5..0\]\" to \"value505..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 88 992 1065 104 "value50\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634401 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11512 784 857 11528 "value50\[5..0\]" "" } { 88 992 1065 104 "value50\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634401 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value51 " "Converted elements in bus name \"value51\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value51\[5..0\] value515..0 " "Converted element name(s) from \"value51\[5..0\]\" to \"value515..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11736 784 859 11752 "value51\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634401 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value51\[5..0\] value515..0 " "Converted element name(s) from \"value51\[5..0\]\" to \"value515..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 72 992 1061 88 "value51\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605634401 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11736 784 859 11752 "value51\[5..0\]" "" } { 72 992 1061 88 "value51\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509605634401 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_COMPARE gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120 " "Elaborating entity \"LPM_COMPARE\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120\"" { } { { "gA6_stack52.bdf" "inst120" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1016 -64 64 1144 "inst120" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605635109 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1016 -64 64 1144 "inst120" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605635163 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_PIPELINE 0 " "Parameter \"LPM_PIPELINE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605635173 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605635173 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605635173 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1016 -64 64 1144 "inst120" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605635173 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_86h.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_86h.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_86h " "Found entity 1: cmpr_86h" { } { { "db/cmpr_86h.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_86h.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605635501 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509605635501 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cmpr_86h gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120\|cmpr_86h:auto_generated " "Elaborating entity \"cmpr_86h\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120\|cmpr_86h:auto_generated\"" { } { { "lpm_compare.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_compare.tdf" 280 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605635510 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_COUNTER gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115 " "Elaborating entity \"LPM_COUNTER\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115\"" { } { { "gA6_stack52.bdf" "inst115" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 656 -72 64 856 "inst115" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605635926 ""} +{ "Warning" "WTDFX_ASSERTION" "The data\[\] port is connected but aload and sload are not connected or are ground. This means the data will be ignored " "Assertion warning: The data\[\] port is connected but aload and sload are not connected or are ground. This means the data will be ignored" { } { { "lpm_counter.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_counter.tdf" 475 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 416 -72 64 616 "inst115" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605635947 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 656 -72 64 856 "inst115" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605635949 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605635949 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 52 " "Parameter \"LPM_SVALUE\" = \"52\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605635949 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605635949 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 656 -72 64 856 "inst115" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605635949 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_p2i.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_p2i.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_p2i " "Found entity 1: cntr_p2i" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605636194 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509605636194 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cntr_p2i gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115\|cntr_p2i:auto_generated " "Elaborating entity \"cntr_p2i\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115\|cntr_p2i:auto_generated\"" { } { { "lpm_counter.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_counter.tdf" 258 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605636200 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_COMPARE gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119 " "Elaborating entity \"LPM_COMPARE\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119\"" { } { { "gA6_stack52.bdf" "inst119" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 880 -64 64 1008 "inst119" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605636282 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 880 -64 64 1008 "inst119" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605636329 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_PIPELINE 0 " "Parameter \"LPM_PIPELINE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605636329 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605636329 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605636329 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 880 -64 64 1008 "inst119" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605636329 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_4nh.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_4nh.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_4nh " "Found entity 1: cmpr_4nh" { } { { "db/cmpr_4nh.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_4nh.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605636500 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509605636500 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cmpr_4nh gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119\|cmpr_4nh:auto_generated " "Elaborating entity \"cmpr_4nh\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119\|cmpr_4nh:auto_generated\"" { } { { "lpm_compare.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_compare.tdf" 280 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605636504 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_mux0 gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125 " "Elaborating entity \"lpm_mux0\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\"" { } { { "gA6_stack52.bdf" "inst125" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 48 1056 1200 928 "inst125" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605636618 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_MUX gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component " "Elaborating entity \"LPM_MUX\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component\"" { } { { "lpm_mux0.vhd" "LPM_MUX_component" { Text "C:/home/abbas/dsd_A6/lab3/lpm_mux0.vhd" 529 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605637507 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component\"" { } { { "lpm_mux0.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/lpm_mux0.vhd" 529 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605637532 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605637532 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SIZE 52 " "Parameter \"LPM_SIZE\" = \"52\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605637532 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 6 " "Parameter \"LPM_WIDTHS\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605637532 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_PIPELINE 0 " "Parameter \"LPM_PIPELINE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605637532 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_MUX " "Parameter \"LPM_TYPE\" = \"LPM_MUX\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605637532 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_HINT UNUSED " "Parameter \"LPM_HINT\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605637532 ""} } { { "lpm_mux0.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/lpm_mux0.vhd" 529 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605637532 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mux_v4e.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mux_v4e.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mux_v4e " "Found entity 1: mux_v4e" { } { { "db/mux_v4e.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/mux_v4e.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605637819 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509605637819 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mux_v4e gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component\|mux_v4e:auto_generated " "Elaborating entity \"mux_v4e\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component\|mux_v4e:auto_generated\"" { } { { "lpm_mux.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_mux.tdf" 86 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605637822 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\"" { } { { "gA6_stack52.bdf" "inst" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 240 584 760 384 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605638027 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 240 584 760 384 "inst" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605638054 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 240 584 760 384 "inst" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605638056 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 240 584 760 384 "inst" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605638056 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605638057 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605638057 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 0 " "Parameter \"LPM_SVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605638057 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605638057 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 240 584 760 384 "inst" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605638057 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605638216 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 240 584 760 384 "inst" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605638240 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_ff_enable52 gA6_testbed:inst\|gA6_stack52:inst4\|gA6_ff_enable52:inst124 " "Elaborating entity \"gA6_ff_enable52\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_ff_enable52:inst124\"" { } { { "gA6_stack52.bdf" "inst124" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1288 -128 64 1416 "inst124" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605638248 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_pop_enable gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104 " "Elaborating entity \"gA6_pop_enable\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\"" { } { { "gA6_stack52.bdf" "inst104" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1176 -112 64 1256 "inst104" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605638302 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_ROM gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table " "Elaborating entity \"LPM_ROM\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\"" { } { { "gA6_pop_enable.vhd" "lookup_table" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 25 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605638419 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\"" { } { { "gA6_pop_enable.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 25 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605638534 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 52 " "Parameter \"LPM_WIDTH\" = \"52\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605638534 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHAD 6 " "Parameter \"LPM_WIDTHAD\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605638534 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_NUMWORDS 64 " "Parameter \"LPM_NUMWORDS\" = \"64\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605638534 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_ADDRESS_CONTROL REGISTERED " "Parameter \"LPM_ADDRESS_CONTROL\" = \"REGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605638534 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_OUTDATA UNREGISTERED " "Parameter \"LPM_OUTDATA\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605638534 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FILE gA6_popup_rom_data.mif " "Parameter \"LPM_FILE\" = \"gA6_popup_rom_data.mif\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605638534 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_ROM " "Parameter \"LPM_TYPE\" = \"LPM_ROM\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605638534 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INTENDED_DEVICE_FAMILY UNUSED " "Parameter \"INTENDED_DEVICE_FAMILY\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605638534 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_HINT UNUSED " "Parameter \"LPM_HINT\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605638534 ""} } { { "gA6_pop_enable.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 25 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605638534 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altrom gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom " "Elaborating entity \"altrom\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\"" { } { { "lpm_rom.tdf" "srom" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 54 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605638989 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\"" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 54 3 0 } } { "gA6_pop_enable.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 25 0 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605639020 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\|altsyncram:rom_block " "Elaborating entity \"altsyncram\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\|altsyncram:rom_block\"" { } { { "altrom.tdf" "rom_block" { Text "c:/altera/13.0/quartus/libraries/megafunctions/altrom.tdf" 88 6 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605639173 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\|altsyncram:rom_block gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\|altsyncram:rom_block\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\"" { } { { "altrom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/altrom.tdf" 88 6 0 } } { "gA6_pop_enable.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 25 0 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605639387 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_f911.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_f911.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_f911 " "Found entity 1: altsyncram_f911" { } { { "db/altsyncram_f911.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/altsyncram_f911.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605639597 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509605639597 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_f911 gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\|altsyncram:rom_block\|altsyncram_f911:auto_generated " "Elaborating entity \"altsyncram_f911\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\|altsyncram:rom_block\|altsyncram_f911:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/altsyncram.tdf" 791 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605639602 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "BUSMUX gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1 " "Elaborating entity \"BUSMUX\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\"" { } { { "gA6_stack52.bdf" "inst1" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 264 432 544 352 "inst1" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605639766 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 264 432 544 352 "inst1" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605639772 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH 6 " "Parameter \"WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605639773 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 264 432 544 352 "inst1" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605639773 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_MUX gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\|LPM_MUX:\$00000 " "Elaborating entity \"LPM_MUX\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\|LPM_MUX:\$00000\"" { } { { "busmux.tdf" "\$00000" { Text "c:/altera/13.0/quartus/libraries/megafunctions/busmux.tdf" 43 13 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605639788 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\|LPM_MUX:\$00000 gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\|LPM_MUX:\$00000\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\"" { } { { "busmux.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/busmux.tdf" 43 13 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 264 432 544 352 "inst1" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605639859 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mux_qmc.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mux_qmc.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mux_qmc " "Found entity 1: mux_qmc" { } { { "db/mux_qmc.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/mux_qmc.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605640043 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509605640043 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mux_qmc gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\|LPM_MUX:\$00000\|mux_qmc:auto_generated " "Elaborating entity \"mux_qmc\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\|LPM_MUX:\$00000\|mux_qmc:auto_generated\"" { } { { "lpm_mux.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_mux.tdf" 86 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640047 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\"" { } { { "gA6_stack52.bdf" "inst2" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 464 584 760 608 "inst2" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640063 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 464 584 760 608 "inst2" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605640123 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 464 584 760 608 "inst2" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605640123 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst2"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 464 584 760 608 "inst2" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605640123 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640123 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640123 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 1 " "Parameter \"LPM_SVALUE\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640123 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640123 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 464 584 760 608 "inst2" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605640123 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640133 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 464 584 760 608 "inst2" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640140 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\"" { } { { "gA6_stack52.bdf" "inst5" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 688 584 760 832 "inst5" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640168 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 688 584 760 832 "inst5" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605640195 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 688 584 760 832 "inst5" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605640195 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst5"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 688 584 760 832 "inst5" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605640195 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640195 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640195 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 2 " "Parameter \"LPM_SVALUE\" = \"2\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640195 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640195 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 688 584 760 832 "inst5" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605640195 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640232 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 688 584 760 832 "inst5" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640240 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\"" { } { { "gA6_stack52.bdf" "inst7" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 912 584 760 1056 "inst7" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640273 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 912 584 760 1056 "inst7" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605640284 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 912 584 760 1056 "inst7" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605640284 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst7"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 912 584 760 1056 "inst7" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605640284 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640284 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640284 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 3 " "Parameter \"LPM_SVALUE\" = \"3\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640284 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640284 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 912 584 760 1056 "inst7" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605640284 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640308 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 912 584 760 1056 "inst7" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640324 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\"" { } { { "gA6_stack52.bdf" "inst9" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1136 584 760 1280 "inst9" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640343 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1136 584 760 1280 "inst9" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605640357 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1136 584 760 1280 "inst9" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605640357 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst9"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1136 584 760 1280 "inst9" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605640357 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640358 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640358 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 4 " "Parameter \"LPM_SVALUE\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640358 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640358 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1136 584 760 1280 "inst9" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605640358 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640372 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1136 584 760 1280 "inst9" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640388 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\"" { } { { "gA6_stack52.bdf" "inst11" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1360 584 760 1504 "inst11" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640408 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1360 584 760 1504 "inst11" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605640436 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1360 584 760 1504 "inst11" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605640436 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst11"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1360 584 760 1504 "inst11" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605640436 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640437 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640437 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 5 " "Parameter \"LPM_SVALUE\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640437 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640437 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1360 584 760 1504 "inst11" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605640437 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640457 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1360 584 760 1504 "inst11" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640471 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\"" { } { { "gA6_stack52.bdf" "inst13" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1584 584 760 1728 "inst13" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640487 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1584 584 760 1728 "inst13" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605640505 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1584 584 760 1728 "inst13" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605640506 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst13"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1584 584 760 1728 "inst13" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605640507 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640507 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640507 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 6 " "Parameter \"LPM_SVALUE\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640507 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640507 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1584 584 760 1728 "inst13" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605640507 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640530 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1584 584 760 1728 "inst13" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640570 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\"" { } { { "gA6_stack52.bdf" "inst14" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1808 584 760 1952 "inst14" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640594 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1808 584 760 1952 "inst14" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605640609 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1808 584 760 1952 "inst14" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605640609 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst14"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1808 584 760 1952 "inst14" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605640609 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640610 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640610 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 7 " "Parameter \"LPM_SVALUE\" = \"7\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640610 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640610 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1808 584 760 1952 "inst14" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605640610 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640638 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1808 584 760 1952 "inst14" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640652 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\"" { } { { "gA6_stack52.bdf" "inst17" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2032 584 760 2176 "inst17" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640675 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2032 584 760 2176 "inst17" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605640701 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2032 584 760 2176 "inst17" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605640701 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst17"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2032 584 760 2176 "inst17" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605640701 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640701 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640701 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 8 " "Parameter \"LPM_SVALUE\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640701 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640701 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2032 584 760 2176 "inst17" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605640701 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640724 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2032 584 760 2176 "inst17" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640745 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\"" { } { { "gA6_stack52.bdf" "inst19" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2256 584 760 2400 "inst19" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640765 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2256 584 760 2400 "inst19" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605640791 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2256 584 760 2400 "inst19" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605640791 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst19"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2256 584 760 2400 "inst19" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605640792 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640792 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640792 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 9 " "Parameter \"LPM_SVALUE\" = \"9\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640792 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640792 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2256 584 760 2400 "inst19" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605640792 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640805 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2256 584 760 2400 "inst19" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640811 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\"" { } { { "gA6_stack52.bdf" "inst21" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2480 584 760 2624 "inst21" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640830 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2480 584 760 2624 "inst21" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605640868 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2480 584 760 2624 "inst21" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605640868 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst21"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2480 584 760 2624 "inst21" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605640868 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640868 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640868 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 10 " "Parameter \"LPM_SVALUE\" = \"10\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640868 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640868 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2480 584 760 2624 "inst21" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605640868 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640883 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2480 584 760 2624 "inst21" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640910 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\"" { } { { "gA6_stack52.bdf" "inst23" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2704 584 760 2848 "inst23" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640944 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2704 584 760 2848 "inst23" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605640977 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2704 584 760 2848 "inst23" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605640977 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst23"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2704 584 760 2848 "inst23" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605640978 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640978 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640978 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 11 " "Parameter \"LPM_SVALUE\" = \"11\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640978 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605640978 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2704 584 760 2848 "inst23" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605640978 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641000 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2704 584 760 2848 "inst23" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641016 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\"" { } { { "gA6_stack52.bdf" "inst25" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2928 584 760 3072 "inst25" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641038 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2928 584 760 3072 "inst25" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605641100 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2928 584 760 3072 "inst25" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605641100 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst25"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2928 584 760 3072 "inst25" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605641101 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641101 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641101 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 12 " "Parameter \"LPM_SVALUE\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641101 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641101 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2928 584 760 3072 "inst25" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605641101 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641121 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2928 584 760 3072 "inst25" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641139 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\"" { } { { "gA6_stack52.bdf" "inst27" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3152 584 760 3296 "inst27" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641159 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3152 584 760 3296 "inst27" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605641224 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3152 584 760 3296 "inst27" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605641224 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst27"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3152 584 760 3296 "inst27" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605641224 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641225 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641225 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 13 " "Parameter \"LPM_SVALUE\" = \"13\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641225 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641225 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3152 584 760 3296 "inst27" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605641225 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641237 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3152 584 760 3296 "inst27" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641259 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\"" { } { { "gA6_stack52.bdf" "inst28" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3376 584 760 3520 "inst28" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641278 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3376 584 760 3520 "inst28" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605641322 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3376 584 760 3520 "inst28" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605641322 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst28"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3376 584 760 3520 "inst28" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605641322 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641322 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641322 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 14 " "Parameter \"LPM_SVALUE\" = \"14\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641322 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641322 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3376 584 760 3520 "inst28" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605641322 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641333 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3376 584 760 3520 "inst28" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641344 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\"" { } { { "gA6_stack52.bdf" "inst31" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3600 584 760 3744 "inst31" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641371 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3600 584 760 3744 "inst31" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605641439 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3600 584 760 3744 "inst31" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605641439 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst31"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3600 584 760 3744 "inst31" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605641440 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641440 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641440 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 15 " "Parameter \"LPM_SVALUE\" = \"15\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641440 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641440 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3600 584 760 3744 "inst31" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605641440 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641454 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3600 584 760 3744 "inst31" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641461 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\"" { } { { "gA6_stack52.bdf" "inst33" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3824 584 760 3968 "inst33" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641478 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3824 584 760 3968 "inst33" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605641512 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3824 584 760 3968 "inst33" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605641513 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst33"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3824 584 760 3968 "inst33" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605641513 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641514 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641514 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 16 " "Parameter \"LPM_SVALUE\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641514 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641514 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3824 584 760 3968 "inst33" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605641514 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641526 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3824 584 760 3968 "inst33" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641544 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\"" { } { { "gA6_stack52.bdf" "inst35" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4048 584 760 4192 "inst35" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641577 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4048 584 760 4192 "inst35" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605641606 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4048 584 760 4192 "inst35" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605641606 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst35"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4048 584 760 4192 "inst35" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605641606 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641607 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641607 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 17 " "Parameter \"LPM_SVALUE\" = \"17\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641607 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641607 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4048 584 760 4192 "inst35" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605641607 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641635 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4048 584 760 4192 "inst35" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641641 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\"" { } { { "gA6_stack52.bdf" "inst37" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4272 584 760 4416 "inst37" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641671 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4272 584 760 4416 "inst37" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605641701 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4272 584 760 4416 "inst37" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605641701 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst37"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4272 584 760 4416 "inst37" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605641702 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641702 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641702 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 18 " "Parameter \"LPM_SVALUE\" = \"18\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641702 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641702 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4272 584 760 4416 "inst37" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605641702 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641712 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4272 584 760 4416 "inst37" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641723 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\"" { } { { "gA6_stack52.bdf" "inst39" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4496 584 760 4640 "inst39" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641758 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4496 584 760 4640 "inst39" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605641789 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4496 584 760 4640 "inst39" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605641789 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst39"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4496 584 760 4640 "inst39" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605641789 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641790 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641790 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 19 " "Parameter \"LPM_SVALUE\" = \"19\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641790 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641790 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4496 584 760 4640 "inst39" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605641790 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641808 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4496 584 760 4640 "inst39" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641833 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\"" { } { { "gA6_stack52.bdf" "inst41" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4720 584 760 4864 "inst41" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641852 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4720 584 760 4864 "inst41" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605641886 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4720 584 760 4864 "inst41" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605641887 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst41"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4720 584 760 4864 "inst41" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605641888 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641888 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641888 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 20 " "Parameter \"LPM_SVALUE\" = \"20\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641888 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641888 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4720 584 760 4864 "inst41" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605641888 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641900 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4720 584 760 4864 "inst41" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641905 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\"" { } { { "gA6_stack52.bdf" "inst42" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4944 584 760 5088 "inst42" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641925 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4944 584 760 5088 "inst42" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605641967 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4944 584 760 5088 "inst42" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605641967 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst42"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4944 584 760 5088 "inst42" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605641967 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641968 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641968 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 21 " "Parameter \"LPM_SVALUE\" = \"21\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641968 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641968 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4944 584 760 5088 "inst42" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605641968 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641977 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4944 584 760 5088 "inst42" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605641984 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\"" { } { { "gA6_stack52.bdf" "inst45" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5168 584 760 5312 "inst45" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642011 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5168 584 760 5312 "inst45" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605642040 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5168 584 760 5312 "inst45" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605642040 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst45"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5168 584 760 5312 "inst45" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605642040 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642041 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642041 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 22 " "Parameter \"LPM_SVALUE\" = \"22\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642041 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642041 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5168 584 760 5312 "inst45" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605642041 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642051 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5168 584 760 5312 "inst45" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642070 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\"" { } { { "gA6_stack52.bdf" "inst47" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5392 584 760 5536 "inst47" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642105 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5392 584 760 5536 "inst47" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605642124 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5392 584 760 5536 "inst47" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605642124 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst47"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5392 584 760 5536 "inst47" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605642124 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642125 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642125 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 23 " "Parameter \"LPM_SVALUE\" = \"23\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642125 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642125 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5392 584 760 5536 "inst47" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605642125 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642158 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5392 584 760 5536 "inst47" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642166 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\"" { } { { "gA6_stack52.bdf" "inst49" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5616 584 760 5760 "inst49" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642184 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5616 584 760 5760 "inst49" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605642222 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5616 584 760 5760 "inst49" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605642222 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst49"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5616 584 760 5760 "inst49" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605642222 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642222 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642222 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 24 " "Parameter \"LPM_SVALUE\" = \"24\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642222 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642222 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5616 584 760 5760 "inst49" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605642222 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642242 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5616 584 760 5760 "inst49" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642259 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\"" { } { { "gA6_stack52.bdf" "inst51" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5840 584 760 5984 "inst51" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642292 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5840 584 760 5984 "inst51" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605642309 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5840 584 760 5984 "inst51" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605642309 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst51"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5840 584 760 5984 "inst51" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605642309 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642310 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642310 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 25 " "Parameter \"LPM_SVALUE\" = \"25\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642310 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642310 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5840 584 760 5984 "inst51" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605642310 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642348 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5840 584 760 5984 "inst51" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642354 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\"" { } { { "gA6_stack52.bdf" "inst53" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6064 584 760 6208 "inst53" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642372 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6064 584 760 6208 "inst53" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605642379 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6064 584 760 6208 "inst53" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605642380 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst53"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6064 584 760 6208 "inst53" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605642380 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642380 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642380 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 26 " "Parameter \"LPM_SVALUE\" = \"26\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642380 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642380 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6064 584 760 6208 "inst53" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605642380 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642395 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6064 584 760 6208 "inst53" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642412 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\"" { } { { "gA6_stack52.bdf" "inst54" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6288 584 760 6432 "inst54" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642436 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6288 584 760 6432 "inst54" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605642454 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6288 584 760 6432 "inst54" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605642454 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst54"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6288 584 760 6432 "inst54" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605642454 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642454 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642454 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 27 " "Parameter \"LPM_SVALUE\" = \"27\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642454 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642454 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6288 584 760 6432 "inst54" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605642454 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642468 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6288 584 760 6432 "inst54" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642484 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\"" { } { { "gA6_stack52.bdf" "inst57" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6512 584 760 6656 "inst57" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642507 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6512 584 760 6656 "inst57" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605642555 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6512 584 760 6656 "inst57" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605642555 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst57"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6512 584 760 6656 "inst57" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605642555 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642556 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642556 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 28 " "Parameter \"LPM_SVALUE\" = \"28\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642556 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642556 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6512 584 760 6656 "inst57" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605642556 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642565 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6512 584 760 6656 "inst57" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642580 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\"" { } { { "gA6_stack52.bdf" "inst59" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6736 584 760 6880 "inst59" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642618 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6736 584 760 6880 "inst59" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605642637 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6736 584 760 6880 "inst59" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605642637 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst59"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6736 584 760 6880 "inst59" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605642638 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642638 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642638 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 29 " "Parameter \"LPM_SVALUE\" = \"29\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642638 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642638 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6736 584 760 6880 "inst59" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605642638 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642674 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6736 584 760 6880 "inst59" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642690 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\"" { } { { "gA6_stack52.bdf" "inst61" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6960 584 760 7104 "inst61" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642711 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6960 584 760 7104 "inst61" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605642740 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6960 584 760 7104 "inst61" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605642741 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst61"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6960 584 760 7104 "inst61" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605642741 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642741 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642741 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 30 " "Parameter \"LPM_SVALUE\" = \"30\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642741 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642741 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6960 584 760 7104 "inst61" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605642741 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642761 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6960 584 760 7104 "inst61" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642780 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\"" { } { { "gA6_stack52.bdf" "inst63" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7184 584 760 7328 "inst63" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642805 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7184 584 760 7328 "inst63" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605642824 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7184 584 760 7328 "inst63" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605642824 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst63"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7184 584 760 7328 "inst63" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605642824 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642825 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642825 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 31 " "Parameter \"LPM_SVALUE\" = \"31\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642825 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642825 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7184 584 760 7328 "inst63" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605642825 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642837 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7184 584 760 7328 "inst63" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642853 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\"" { } { { "gA6_stack52.bdf" "inst65" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7408 584 760 7552 "inst65" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642877 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7408 584 760 7552 "inst65" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605642939 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7408 584 760 7552 "inst65" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605642940 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst65"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7408 584 760 7552 "inst65" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605642940 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642941 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642941 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 32 " "Parameter \"LPM_SVALUE\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642941 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642941 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7408 584 760 7552 "inst65" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605642941 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642979 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7408 584 760 7552 "inst65" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605642989 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\"" { } { { "gA6_stack52.bdf" "inst67" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7632 584 760 7776 "inst67" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643010 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7632 584 760 7776 "inst67" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605643029 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7632 584 760 7776 "inst67" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605643030 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst67"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7632 584 760 7776 "inst67" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605643031 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643032 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643032 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 33 " "Parameter \"LPM_SVALUE\" = \"33\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643032 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643032 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7632 584 760 7776 "inst67" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605643032 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643066 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7632 584 760 7776 "inst67" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643073 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\"" { } { { "gA6_stack52.bdf" "inst68" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7856 584 760 8000 "inst68" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643091 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7856 584 760 8000 "inst68" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605643128 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7856 584 760 8000 "inst68" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605643128 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst68"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7856 584 760 8000 "inst68" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605643129 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643129 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643129 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 34 " "Parameter \"LPM_SVALUE\" = \"34\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643129 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643129 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7856 584 760 8000 "inst68" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605643129 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643145 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7856 584 760 8000 "inst68" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643156 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\"" { } { { "gA6_stack52.bdf" "inst71" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8080 584 760 8224 "inst71" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643193 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8080 584 760 8224 "inst71" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605643214 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8080 584 760 8224 "inst71" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605643214 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst71"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8080 584 760 8224 "inst71" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605643215 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643215 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643215 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 35 " "Parameter \"LPM_SVALUE\" = \"35\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643215 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643215 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8080 584 760 8224 "inst71" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605643215 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643226 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8080 584 760 8224 "inst71" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643238 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\"" { } { { "gA6_stack52.bdf" "inst73" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8304 584 760 8448 "inst73" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643272 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8304 584 760 8448 "inst73" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605643287 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8304 584 760 8448 "inst73" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605643287 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst73"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8304 584 760 8448 "inst73" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605643288 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643288 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643288 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 36 " "Parameter \"LPM_SVALUE\" = \"36\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643288 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643288 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8304 584 760 8448 "inst73" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605643288 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643326 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8304 584 760 8448 "inst73" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643335 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\"" { } { { "gA6_stack52.bdf" "inst75" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8528 584 760 8672 "inst75" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643355 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8528 584 760 8672 "inst75" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605643380 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8528 584 760 8672 "inst75" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605643381 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst75"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8528 584 760 8672 "inst75" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605643381 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643381 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643381 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 37 " "Parameter \"LPM_SVALUE\" = \"37\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643381 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643381 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8528 584 760 8672 "inst75" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605643381 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643401 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8528 584 760 8672 "inst75" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643416 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\"" { } { { "gA6_stack52.bdf" "inst77" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8752 584 760 8896 "inst77" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643437 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8752 584 760 8896 "inst77" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605643459 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8752 584 760 8896 "inst77" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605643460 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst77"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8752 584 760 8896 "inst77" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605643460 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643460 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643460 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 38 " "Parameter \"LPM_SVALUE\" = \"38\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643460 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643460 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8752 584 760 8896 "inst77" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605643460 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643477 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8752 584 760 8896 "inst77" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643486 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\"" { } { { "gA6_stack52.bdf" "inst79" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8976 584 760 9120 "inst79" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643523 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8976 584 760 9120 "inst79" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605643553 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8976 584 760 9120 "inst79" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605643553 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst79"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8976 584 760 9120 "inst79" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605643554 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643554 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643554 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 39 " "Parameter \"LPM_SVALUE\" = \"39\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643554 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643554 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8976 584 760 9120 "inst79" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605643554 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643595 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8976 584 760 9120 "inst79" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643611 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\"" { } { { "gA6_stack52.bdf" "inst81" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9200 584 760 9344 "inst81" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643647 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9200 584 760 9344 "inst81" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605643670 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9200 584 760 9344 "inst81" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605643670 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst81"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9200 584 760 9344 "inst81" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605643671 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643671 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643671 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 40 " "Parameter \"LPM_SVALUE\" = \"40\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643671 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643671 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9200 584 760 9344 "inst81" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605643671 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643692 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9200 584 760 9344 "inst81" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643707 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\"" { } { { "gA6_stack52.bdf" "inst82" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9424 584 760 9568 "inst82" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643732 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9424 584 760 9568 "inst82" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605643794 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9424 584 760 9568 "inst82" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605643794 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst82"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9424 584 760 9568 "inst82" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605643794 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643794 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643794 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 41 " "Parameter \"LPM_SVALUE\" = \"41\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643794 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643794 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9424 584 760 9568 "inst82" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605643794 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643826 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9424 584 760 9568 "inst82" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643852 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\"" { } { { "gA6_stack52.bdf" "inst85" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9648 584 760 9792 "inst85" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643872 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9648 584 760 9792 "inst85" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605643929 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9648 584 760 9792 "inst85" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605643930 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst85"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9648 584 760 9792 "inst85" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605643930 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643930 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643930 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 42 " "Parameter \"LPM_SVALUE\" = \"42\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643930 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643930 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9648 584 760 9792 "inst85" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605643930 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643946 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9648 584 760 9792 "inst85" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643965 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\"" { } { { "gA6_stack52.bdf" "inst87" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9872 584 760 10016 "inst87" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605643985 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9872 584 760 10016 "inst87" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605644032 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9872 584 760 10016 "inst87" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605644033 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst87"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9872 584 760 10016 "inst87" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605644033 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644033 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644033 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 43 " "Parameter \"LPM_SVALUE\" = \"43\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644033 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644033 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9872 584 760 10016 "inst87" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605644033 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644052 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9872 584 760 10016 "inst87" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644070 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\"" { } { { "gA6_stack52.bdf" "inst89" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10096 584 760 10240 "inst89" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644102 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10096 584 760 10240 "inst89" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605644136 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10096 584 760 10240 "inst89" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605644136 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst89"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10096 584 760 10240 "inst89" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605644137 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644137 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644137 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 44 " "Parameter \"LPM_SVALUE\" = \"44\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644137 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644137 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10096 584 760 10240 "inst89" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605644137 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644160 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10096 584 760 10240 "inst89" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644175 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\"" { } { { "gA6_stack52.bdf" "inst91" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10320 584 760 10464 "inst91" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644200 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10320 584 760 10464 "inst91" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605644244 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10320 584 760 10464 "inst91" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605644244 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst91"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10320 584 760 10464 "inst91" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605644245 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644245 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644245 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 45 " "Parameter \"LPM_SVALUE\" = \"45\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644245 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644245 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10320 584 760 10464 "inst91" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605644245 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644266 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10320 584 760 10464 "inst91" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644284 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\"" { } { { "gA6_stack52.bdf" "inst93" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10544 584 760 10688 "inst93" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644301 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10544 584 760 10688 "inst93" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605644308 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10544 584 760 10688 "inst93" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605644309 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst93"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10544 584 760 10688 "inst93" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605644309 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644309 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644309 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 46 " "Parameter \"LPM_SVALUE\" = \"46\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644309 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644309 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10544 584 760 10688 "inst93" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605644309 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644358 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10544 584 760 10688 "inst93" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644373 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\"" { } { { "gA6_stack52.bdf" "inst95" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10768 584 760 10912 "inst95" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644389 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10768 584 760 10912 "inst95" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605644424 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10768 584 760 10912 "inst95" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605644424 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst95"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10768 584 760 10912 "inst95" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605644425 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 47 " "Parameter \"LPM_SVALUE\" = \"47\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644425 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10768 584 760 10912 "inst95" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605644425 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644454 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10768 584 760 10912 "inst95" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644469 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\"" { } { { "gA6_stack52.bdf" "inst96" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10992 584 760 11136 "inst96" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644501 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10992 584 760 11136 "inst96" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605644516 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10992 584 760 11136 "inst96" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605644516 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst96"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10992 584 760 11136 "inst96" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605644517 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644517 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644517 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 48 " "Parameter \"LPM_SVALUE\" = \"48\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644517 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644517 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10992 584 760 11136 "inst96" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605644517 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644554 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10992 584 760 11136 "inst96" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644655 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\"" { } { { "gA6_stack52.bdf" "inst99" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11216 584 760 11360 "inst99" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644692 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11216 584 760 11360 "inst99" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605644716 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11216 584 760 11360 "inst99" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605644716 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst99"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11216 584 760 11360 "inst99" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605644716 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644716 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644716 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 49 " "Parameter \"LPM_SVALUE\" = \"49\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644716 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644716 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11216 584 760 11360 "inst99" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605644716 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644760 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11216 584 760 11360 "inst99" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644869 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\"" { } { { "gA6_stack52.bdf" "inst101" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11440 584 760 11584 "inst101" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644890 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11440 584 760 11584 "inst101" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605644907 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11440 584 760 11584 "inst101" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605644907 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst101"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11440 584 760 11584 "inst101" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605644908 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644908 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644908 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 50 " "Parameter \"LPM_SVALUE\" = \"50\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644908 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644908 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11440 584 760 11584 "inst101" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605644908 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644967 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11440 584 760 11584 "inst101" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605644999 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\"" { } { { "gA6_stack52.bdf" "inst103" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11664 584 760 11808 "inst103" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605645037 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11664 584 760 11808 "inst103" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509605645052 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11664 584 760 11808 "inst103" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509605645052 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst103"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11664 584 760 11808 "inst103" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605645052 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605645052 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605645052 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 51 " "Parameter \"LPM_SVALUE\" = \"51\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605645052 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605645052 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11664 584 760 11808 "inst103" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605645052 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605645089 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11664 584 760 11808 "inst103" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605645104 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "BUSMUX gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst102 " "Elaborating entity \"BUSMUX\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst102\"" { } { { "gA6_stack52.bdf" "inst102" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11688 432 544 11776 "inst102" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605645108 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst102 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst102\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11688 432 544 11776 "inst102" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605645148 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst102 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst102\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH 6 " "Parameter \"WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605645149 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11688 432 544 11776 "inst102" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605645149 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_COMPARE gA6_testbed:inst\|LPM_COMPARE:inst1 " "Elaborating entity \"LPM_COMPARE\" for hierarchy \"gA6_testbed:inst\|LPM_COMPARE:inst1\"" { } { { "ga6_testbed.bdf" "inst1" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 256 264 392 384 "inst1" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605645192 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|LPM_COMPARE:inst1 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|LPM_COMPARE:inst1\"" { } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 256 264 392 384 "inst1" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605645209 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|LPM_COMPARE:inst1 " "Instantiated megafunction \"gA6_testbed:inst\|LPM_COMPARE:inst1\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 21 " "Parameter \"LPM_WIDTH\" = \"21\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605645209 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605645209 ""} } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 256 264 392 384 "inst1" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605645209 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_6cg.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_6cg.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_6cg " "Found entity 1: cmpr_6cg" { } { { "db/cmpr_6cg.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_6cg.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605645402 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509605645402 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cmpr_6cg gA6_testbed:inst\|LPM_COMPARE:inst1\|cmpr_6cg:auto_generated " "Elaborating entity \"cmpr_6cg\" for hierarchy \"gA6_testbed:inst\|LPM_COMPARE:inst1\|cmpr_6cg:auto_generated\"" { } { { "lpm_compare.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_compare.tdf" 280 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605645410 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_COUNTER gA6_testbed:inst\|LPM_COUNTER:inst " "Elaborating entity \"LPM_COUNTER\" for hierarchy \"gA6_testbed:inst\|LPM_COUNTER:inst\"" { } { { "ga6_testbed.bdf" "inst" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 184 80 216 384 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605645459 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|LPM_COUNTER:inst " "Elaborated megafunction instantiation \"gA6_testbed:inst\|LPM_COUNTER:inst\"" { } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 184 80 216 384 "inst" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605645495 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|LPM_COUNTER:inst " "Instantiated megafunction \"gA6_testbed:inst\|LPM_COUNTER:inst\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 21 " "Parameter \"LPM_WIDTH\" = \"21\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605645495 ""} } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 184 80 216 384 "inst" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605645495 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_h1h.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_h1h.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_h1h " "Found entity 1: cntr_h1h" { } { { "db/cntr_h1h.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_h1h.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605645702 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509605645702 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cntr_h1h gA6_testbed:inst\|LPM_COUNTER:inst\|cntr_h1h:auto_generated " "Elaborating entity \"cntr_h1h\" for hierarchy \"gA6_testbed:inst\|LPM_COUNTER:inst\|cntr_h1h:auto_generated\"" { } { { "lpm_counter.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_counter.tdf" 258 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605645709 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|lpm_constant:inst2 " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|lpm_constant:inst2\"" { } { { "ga6_testbed.bdf" "inst2" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 184 264 400 248 "inst2" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605645806 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|lpm_constant:inst2 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|lpm_constant:inst2\"" { } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 184 264 400 248 "inst2" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605645838 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|lpm_constant:inst2 " "Instantiated megafunction \"gA6_testbed:inst\|lpm_constant:inst2\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_CVALUE 2000 " "Parameter \"LPM_CVALUE\" = \"2000\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605645839 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 21 " "Parameter \"LPM_WIDTH\" = \"21\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605645839 ""} } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 184 264 400 248 "inst2" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509605645839 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_7_segment_decoder gA6_testbed:inst\|gA6_7_segment_decoder:inst15 " "Elaborating entity \"gA6_7_segment_decoder\" for hierarchy \"gA6_testbed:inst\|gA6_7_segment_decoder:inst15\"" { } { { "ga6_testbed.bdf" "inst15" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 568 1040 1256 648 "inst15" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605645906 ""} +{ "Warning" "WSGN_SEARCH_FILE" "ga6_modulo_13.bdf 1 1 " "Using design file ga6_modulo_13.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_modulo_13 " "Found entity 1: gA6_modulo_13" { } { { "ga6_modulo_13.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605646078 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1509605646078 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_modulo_13 gA6_testbed:inst\|gA6_modulo_13:inst5 " "Elaborating entity \"gA6_modulo_13\" for hierarchy \"gA6_testbed:inst\|gA6_modulo_13:inst5\"" { } { { "ga6_testbed.bdf" "inst5" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 304 776 944 400 "inst5" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605646084 ""} +{ "Warning" "WGDFX_PRIMITIVE_IGNORED" "GND inst13 " "Primitive \"GND\" of instance \"inst13\" not used" { } { { "ga6_modulo_13.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { { 128 586 618 160 "inst13" "" } } } } } 0 275008 "Primitive \"%1!s!\" of instance \"%2!s!\" not used" 0 0 "Quartus II" 0 -1 1509605646128 ""} +{ "Warning" "WGDFX_PRIMITIVE_IGNORED" "GND inst7 " "Primitive \"GND\" of instance \"inst7\" not used" { } { { "ga6_modulo_13.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { { 272 410 442 304 "inst7" "" } } } } } 0 275008 "Primitive \"%1!s!\" of instance \"%2!s!\" not used" 0 0 "Quartus II" 0 -1 1509605646128 ""} +{ "Warning" "WGDFX_PRIMITIVE_IGNORED" "GND inst8 " "Primitive \"GND\" of instance \"inst8\" not used" { } { { "ga6_modulo_13.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { { 272 34 66 304 "inst8" "" } } } } } 0 275008 "Primitive \"%1!s!\" of instance \"%2!s!\" not used" 0 0 "Quartus II" 0 -1 1509605646128 ""} +{ "Warning" "WSGN_SEARCH_FILE" "ga6_adder8.bdf 1 1 " "Using design file ga6_adder8.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_adder8 " "Found entity 1: gA6_adder8" { } { { "ga6_adder8.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_adder8.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605646224 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1509605646224 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_adder8 gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_adder8:inst " "Elaborating entity \"gA6_adder8\" for hierarchy \"gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_adder8:inst\"" { } { { "ga6_modulo_13.bdf" "inst" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { { 136 130 258 232 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605646228 ""} +{ "Warning" "WSGN_SEARCH_FILE" "ga6_adder.bdf 1 1 " "Using design file ga6_adder.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_adder " "Found entity 1: gA6_adder" { } { { "ga6_adder.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_adder.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605646312 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1509605646312 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_adder gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_adder8:inst\|gA6_adder:inst7 " "Elaborating entity \"gA6_adder\" for hierarchy \"gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_adder8:inst\|gA6_adder:inst7\"" { } { { "ga6_adder8.bdf" "inst7" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_adder8.bdf" { { 200 48 144 296 "inst7" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605646320 ""} +{ "Warning" "WSGN_SEARCH_FILE" "ga6_adder6.bdf 1 1 " "Using design file ga6_adder6.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_adder6 " "Found entity 1: gA6_adder6" { } { { "ga6_adder6.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_adder6.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605646481 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1509605646481 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_adder6 gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_adder6:inst5 " "Elaborating entity \"gA6_adder6\" for hierarchy \"gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_adder6:inst5\"" { } { { "ga6_modulo_13.bdf" "inst5" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { { 280 74 202 376 "inst5" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605646485 ""} +{ "Warning" "WSGN_SEARCH_FILE" "ga6_not6.bdf 1 1 " "Using design file ga6_not6.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_not6 " "Found entity 1: gA6_not6" { } { { "ga6_not6.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_not6.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605646673 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1509605646673 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_not6 gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_not6:inst15 " "Elaborating entity \"gA6_not6\" for hierarchy \"gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_not6:inst15\"" { } { { "ga6_modulo_13.bdf" "inst15" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { { 296 258 386 368 "inst15" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509605646676 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_2q14.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_2q14.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_2q14 " "Found entity 1: altsyncram_2q14" { } { { "db/altsyncram_2q14.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/altsyncram_2q14.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605650949 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509605650949 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mux_aoc.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mux_aoc.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mux_aoc " "Found entity 1: mux_aoc" { } { { "db/mux_aoc.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/mux_aoc.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605651780 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509605651780 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/decode_rqf.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/decode_rqf.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 decode_rqf " "Found entity 1: decode_rqf" { } { { "db/decode_rqf.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/decode_rqf.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605652178 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509605652178 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_2ci.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_2ci.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_2ci " "Found entity 1: cntr_2ci" { } { { "db/cntr_2ci.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_2ci.tdf" 30 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605652544 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509605652544 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_acc.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_acc.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_acc " "Found entity 1: cmpr_acc" { } { { "db/cmpr_acc.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_acc.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605652791 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509605652791 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_02j.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_02j.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_02j " "Found entity 1: cntr_02j" { } { { "db/cntr_02j.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_02j.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605653164 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509605653164 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_sbi.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_sbi.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_sbi " "Found entity 1: cntr_sbi" { } { { "db/cntr_sbi.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_sbi.tdf" 30 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605653578 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509605653578 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_8cc.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_8cc.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_8cc " "Found entity 1: cmpr_8cc" { } { { "db/cmpr_8cc.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_8cc.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605653805 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509605653805 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_gui.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_gui.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_gui " "Found entity 1: cntr_gui" { } { { "db/cntr_gui.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_gui.tdf" 30 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605654139 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509605654139 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_5cc.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_5cc.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_5cc " "Found entity 1: cmpr_5cc" { } { { "db/cmpr_5cc.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_5cc.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509605654377 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509605654377 ""} +{ "Info" "ISGN_AE_SUCCESSFUL" "auto_signaltap_0 " "Analysis and Synthesis generated SignalTap II or debug node instance \"auto_signaltap_0\"" { } { } 0 12033 "Analysis and Synthesis generated SignalTap II or debug node instance \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605654864 ""} +{ "Warning" "WMLS_MLS_CONVERT_TRI_TO_OR_HDR" "" "Tri-state node(s) do not directly drive top-level pin(s)" { { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[51\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[51\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[50\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[50\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[49\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[49\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[48\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[48\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[47\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[47\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[46\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[46\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[45\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[45\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[44\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[44\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[43\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[43\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[42\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[42\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[41\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[41\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[40\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[40\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[39\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[39\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[38\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[38\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[37\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[37\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[36\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[36\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[35\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[35\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[34\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[34\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[33\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[33\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[32\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[32\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[31\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[31\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[30\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[30\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[29\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[29\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[28\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[28\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[27\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[27\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[26\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[26\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[25\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[25\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[24\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[24\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[23\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[23\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[22\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[22\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[21\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[21\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[20\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[20\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[19\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[19\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[18\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[18\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[17\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[17\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[16\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[16\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[15\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[15\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[14\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[14\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[13\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[13\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[12\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[12\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[11\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[11\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[10\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[10\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[9\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[9\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[8\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[8\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[7\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[7\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[6\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[6\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[5\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[5\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[4\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[4\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[3\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[3\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[2\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[2\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[1\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[1\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[0\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[0\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509605658854 ""} } { } 0 13046 "Tri-state node(s) do not directly drive top-level pin(s)" 0 0 "Quartus II" 0 -1 1509605658854 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[6\] GND " "Pin \"led_empty\[6\]\" is stuck at GND" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509605666654 "|gA6_lab3|led_empty[6]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[5\] VCC " "Pin \"led_empty\[5\]\" is stuck at VCC" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509605666654 "|gA6_lab3|led_empty[5]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[4\] VCC " "Pin \"led_empty\[4\]\" is stuck at VCC" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509605666654 "|gA6_lab3|led_empty[4]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[3\] VCC " "Pin \"led_empty\[3\]\" is stuck at VCC" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509605666654 "|gA6_lab3|led_empty[3]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[2\] VCC " "Pin \"led_empty\[2\]\" is stuck at VCC" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509605666654 "|gA6_lab3|led_empty[2]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[1\] VCC " "Pin \"led_empty\[1\]\" is stuck at VCC" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509605666654 "|gA6_lab3|led_empty[1]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[0\] VCC " "Pin \"led_empty\[0\]\" is stuck at VCC" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509605666654 "|gA6_lab3|led_empty[0]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_mode\[1\] GND " "Pin \"led_mode\[1\]\" is stuck at GND" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 144 320 496 160 "led_mode\[6..0\]" "" } { 328 416 530 340 "led_mode\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509605666654 "|gA6_lab3|led_mode[1]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1509605666654 ""} +{ "Info" "IMLS_MLS_PRESET_POWER_UP" "" "Registers with preset signals will power-up high" { } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 384 -1 0 } } { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 521 -1 0 } } } 0 13000 "Registers with preset signals will power-up high" 0 0 "Quartus II" 0 -1 1509605673155 ""} +{ "Info" "IMLS_MLS_DEV_CLRN_SETS_REGISTERS" "" "DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back" { } { } 0 13003 "DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back" 0 0 "Quartus II" 0 -1 1509605673156 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "sld_hub:auto_hub\|receive\[0\]\[0\] GND " "Pin \"sld_hub:auto_hub\|receive\[0\]\[0\]\" is stuck at GND" { } { { "sld_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_hub.vhd" 181 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509605673357 "|gA6_lab3|sld_hub:auto_hub|receive[0][0]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1509605673357 ""} +{ "Info" "IAMERGE_SLD_INSTANCE_WITH_FULL_CONNECTIONS" "auto_signaltap_0 93 " "Succesfully connected in-system debug instance \"auto_signaltap_0\" to all 93 required data inputs, trigger inputs, acquisition clocks, and dynamic pins" { } { } 0 35024 "Succesfully connected in-system debug instance \"%1!s!\" to all %2!d! required data inputs, trigger inputs, acquisition clocks, and dynamic pins" 0 0 "Quartus II" 0 -1 1509605678284 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Quartus II" 0 -1 1509605678573 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509605678573 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "1951 " "Implemented 1951 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "15 " "Implemented 15 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1509605680739 ""} { "Info" "ICUT_CUT_TM_OPINS" "43 " "Implemented 43 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1509605680739 ""} { "Info" "ICUT_CUT_TM_LCELLS" "1794 " "Implemented 1794 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1509605680739 ""} { "Info" "ICUT_CUT_TM_RAMS" "98 " "Implemented 98 RAM segments" { } { } 0 21064 "Implemented %1!d! RAM segments" 0 0 "Quartus II" 0 -1 1509605680739 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1509605680739 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 342 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 342 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "629 " "Peak virtual memory: 629 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1509605681287 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Nov 02 02:54:41 2017 " "Processing ended: Thu Nov 02 02:54:41 2017" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1509605681287 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:01:03 " "Elapsed time: 00:01:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1509605681287 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:35 " "Total CPU time (on all processors): 00:00:35" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1509605681287 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1509605681287 ""} diff --git a/lab3/db/gA6_lab3.map.rdb b/lab3/db/gA6_lab3.map.rdb index 7b62cc6..0400544 100644 Binary files a/lab3/db/gA6_lab3.map.rdb and b/lab3/db/gA6_lab3.map.rdb differ diff --git a/lab3/db/gA6_lab3.map_bb.cdb b/lab3/db/gA6_lab3.map_bb.cdb index e0defc5..ccb93e4 100644 Binary files a/lab3/db/gA6_lab3.map_bb.cdb and b/lab3/db/gA6_lab3.map_bb.cdb differ diff --git a/lab3/db/gA6_lab3.map_bb.hdb b/lab3/db/gA6_lab3.map_bb.hdb index 1c19646..631715a 100644 Binary files a/lab3/db/gA6_lab3.map_bb.hdb and b/lab3/db/gA6_lab3.map_bb.hdb differ diff --git a/lab3/db/gA6_lab3.pre_map.hdb b/lab3/db/gA6_lab3.pre_map.hdb index 319c340..6ee7ae6 100644 Binary files a/lab3/db/gA6_lab3.pre_map.hdb and b/lab3/db/gA6_lab3.pre_map.hdb differ diff --git a/lab3/db/gA6_lab3.routing.rdb b/lab3/db/gA6_lab3.routing.rdb index cde501f..473cb7b 100644 Binary files a/lab3/db/gA6_lab3.routing.rdb and b/lab3/db/gA6_lab3.routing.rdb differ diff --git a/lab3/db/gA6_lab3.rtlv.hdb b/lab3/db/gA6_lab3.rtlv.hdb index 2cbfded..7b7f6df 100644 Binary files a/lab3/db/gA6_lab3.rtlv.hdb and b/lab3/db/gA6_lab3.rtlv.hdb differ diff --git a/lab3/db/gA6_lab3.rtlv_sg.cdb b/lab3/db/gA6_lab3.rtlv_sg.cdb index a0421c2..f4cde5f 100644 Binary files a/lab3/db/gA6_lab3.rtlv_sg.cdb and b/lab3/db/gA6_lab3.rtlv_sg.cdb differ diff --git a/lab3/db/gA6_lab3.rtlv_sg_swap.cdb b/lab3/db/gA6_lab3.rtlv_sg_swap.cdb index fca0bef..34d225d 100644 Binary files a/lab3/db/gA6_lab3.rtlv_sg_swap.cdb and b/lab3/db/gA6_lab3.rtlv_sg_swap.cdb differ diff --git a/lab3/db/gA6_lab3.sgdiff.cdb b/lab3/db/gA6_lab3.sgdiff.cdb index 58f0add..95df844 100644 Binary files a/lab3/db/gA6_lab3.sgdiff.cdb and b/lab3/db/gA6_lab3.sgdiff.cdb differ diff --git a/lab3/db/gA6_lab3.sgdiff.hdb b/lab3/db/gA6_lab3.sgdiff.hdb index c7020b3..2306376 100644 Binary files a/lab3/db/gA6_lab3.sgdiff.hdb and b/lab3/db/gA6_lab3.sgdiff.hdb differ diff --git a/lab3/db/gA6_lab3.sld_design_entry_dsc.sci b/lab3/db/gA6_lab3.sld_design_entry_dsc.sci index 55a0aa1..2223a3b 100644 Binary files a/lab3/db/gA6_lab3.sld_design_entry_dsc.sci and b/lab3/db/gA6_lab3.sld_design_entry_dsc.sci differ diff --git a/lab3/db/gA6_lab3.sta.qmsg b/lab3/db/gA6_lab3.sta.qmsg index a0fdd13..299fefc 100644 --- a/lab3/db/gA6_lab3.sta.qmsg +++ b/lab3/db/gA6_lab3.sta.qmsg @@ -1,32 +1,32 @@ -{ "Warning" "WACF_MISSING_TCL_FILE" "lpm_counter0.qip " "Tcl Script File lpm_counter0.qip not found" { { "Info" "IACF_ACF_ASSIGNMENT_INFO" "set_global_assignment -name QIP_FILE lpm_counter0.qip " "set_global_assignment -name QIP_FILE lpm_counter0.qip" { } { } 0 125063 "%1!s!" 0 0 "Quartus II" 0 -1 1509590931623 ""} } { } 0 125092 "Tcl Script File %1!s! not found" 0 0 "Quartus II" 0 -1 1509590931623 ""} -{ "Warning" "WACF_MISSING_TCL_FILE" "lpm_counter1.qip " "Tcl Script File lpm_counter1.qip not found" { { "Info" "IACF_ACF_ASSIGNMENT_INFO" "set_global_assignment -name QIP_FILE lpm_counter1.qip " "set_global_assignment -name QIP_FILE lpm_counter1.qip" { } { } 0 125063 "%1!s!" 0 0 "Quartus II" 0 -1 1509590931623 ""} } { } 0 125092 "Tcl Script File %1!s! not found" 0 0 "Quartus II" 0 -1 1509590931623 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1509590931623 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition " "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1509590931623 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Nov 01 22:48:51 2017 " "Processing started: Wed Nov 01 22:48:51 2017" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1509590931623 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1509590931623 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta gA6_lab3 -c gA6_lab3 " "Command: quartus_sta gA6_lab3 -c gA6_lab3" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1509590931623 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1509590931748 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS_MORE_LOGICAL" "2 2 4 " "Parallel Compilation has detected 4 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 2 of the 2 physical processors detected instead." { } { } 0 11104 "Parallel Compilation has detected %3!i! hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use %1!i! of the %2!i! physical processors detected instead." 0 0 "Quartus II" 0 -1 1509590932030 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1509590932061 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1509590932061 ""} -{ "Info" "ISTA_SDC_STATEMENT_PARENT" "" "Evaluating HDL-embedded SDC commands" { { "Info" "ISTA_SDC_STATEMENT_ENTITY" "sld_jtag_hub " "Entity sld_jtag_hub" { { "Info" "ISTA_SDC_STATEMENT_EVAL" "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " { } { } 0 332166 "%1!s!" 0 0 "Quartus II" 0 -1 1509590932577 ""} { "Info" "ISTA_SDC_STATEMENT_EVAL" "set_clock_groups -asynchronous -group \{altera_reserved_tck\} " "set_clock_groups -asynchronous -group \{altera_reserved_tck\}" { } { } 0 332166 "%1!s!" 0 0 "Quartus II" 0 -1 1509590932577 ""} } { } 0 332165 "Entity %1!s!" 0 0 "Quartus II" 0 -1 1509590932577 ""} } { } 0 332164 "Evaluating HDL-embedded SDC commands" 0 0 "Quartus II" 0 -1 1509590932577 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "gA6_lab3.sdc " "Synopsys Design Constraints File file not found: 'gA6_lab3.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1509590932592 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "clk " "Node: clk was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1509590932592 "|gA6_lab3|clk"} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1509590932608 ""} -{ "Info" "0" "" "Analyzing Slow Model" { } { } 0 0 "Analyzing Slow Model" 0 0 "Quartus II" 0 0 1509590932623 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509590932639 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509590932686 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509590932702 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509590932733 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509590932748 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 97.531 " "Worst-case minimum pulse width slack is 97.531" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1509590932748 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1509590932748 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 97.531 0.000 altera_reserved_tck " " 97.531 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1509590932748 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1509590932748 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1509590932873 ""} -{ "Info" "0" "" "Analyzing Fast Model" { } { } 0 0 "Analyzing Fast Model" 0 0 "Quartus II" 0 0 1509590932873 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "clk " "Node: clk was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1509590932936 "|gA6_lab3|clk"} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509590933014 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509590933077 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509590933108 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509590933123 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 97.778 " "Worst-case minimum pulse width slack is 97.778" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1509590933139 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1509590933139 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 97.778 0.000 altera_reserved_tck " " 97.778 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1509590933139 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1509590933139 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1509590933170 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1509590933248 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1509590933248 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "522 " "Peak virtual memory: 522 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1509590933577 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Nov 01 22:48:53 2017 " "Processing ended: Wed Nov 01 22:48:53 2017" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1509590933577 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1509590933577 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1509590933577 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1509590933577 ""} +{ "Warning" "WACF_MISSING_TCL_FILE" "lpm_counter0.qip " "Tcl Script File lpm_counter0.qip not found" { { "Info" "IACF_ACF_ASSIGNMENT_INFO" "set_global_assignment -name QIP_FILE lpm_counter0.qip " "set_global_assignment -name QIP_FILE lpm_counter0.qip" { } { } 0 125063 "%1!s!" 0 0 "Quartus II" 0 -1 1509605729974 ""} } { } 0 125092 "Tcl Script File %1!s! not found" 0 0 "Quartus II" 0 -1 1509605729974 ""} +{ "Warning" "WACF_MISSING_TCL_FILE" "lpm_counter1.qip " "Tcl Script File lpm_counter1.qip not found" { { "Info" "IACF_ACF_ASSIGNMENT_INFO" "set_global_assignment -name QIP_FILE lpm_counter1.qip " "set_global_assignment -name QIP_FILE lpm_counter1.qip" { } { } 0 125063 "%1!s!" 0 0 "Quartus II" 0 -1 1509605729978 ""} } { } 0 125092 "Tcl Script File %1!s! not found" 0 0 "Quartus II" 0 -1 1509605729978 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1509605729980 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition " "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1509605729981 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Nov 02 02:55:28 2017 " "Processing started: Thu Nov 02 02:55:28 2017" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1509605729981 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1509605729981 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta gA6_lab3 -c gA6_lab3 " "Command: quartus_sta gA6_lab3 -c gA6_lab3" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1509605729981 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1509605730293 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS_MORE_LOGICAL" "2 2 4 " "Parallel Compilation has detected 4 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 2 of the 2 physical processors detected instead." { } { } 0 11104 "Parallel Compilation has detected %3!i! hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use %1!i! of the %2!i! physical processors detected instead." 0 0 "Quartus II" 0 -1 1509605731101 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1509605731181 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1509605731182 ""} +{ "Info" "ISTA_SDC_STATEMENT_PARENT" "" "Evaluating HDL-embedded SDC commands" { { "Info" "ISTA_SDC_STATEMENT_ENTITY" "sld_jtag_hub " "Entity sld_jtag_hub" { { "Info" "ISTA_SDC_STATEMENT_EVAL" "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " { } { } 0 332166 "%1!s!" 0 0 "Quartus II" 0 -1 1509605731917 ""} { "Info" "ISTA_SDC_STATEMENT_EVAL" "set_clock_groups -asynchronous -group \{altera_reserved_tck\} " "set_clock_groups -asynchronous -group \{altera_reserved_tck\}" { } { } 0 332166 "%1!s!" 0 0 "Quartus II" 0 -1 1509605731917 ""} } { } 0 332165 "Entity %1!s!" 0 0 "Quartus II" 0 -1 1509605731917 ""} } { } 0 332164 "Evaluating HDL-embedded SDC commands" 0 0 "Quartus II" 0 -1 1509605731917 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "gA6_lab3.sdc " "Synopsys Design Constraints File file not found: 'gA6_lab3.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1509605731960 ""} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "clk " "Node: clk was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1509605731977 "|gA6_lab3|clk"} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1509605732027 ""} +{ "Info" "0" "" "Analyzing Slow Model" { } { } 0 0 "Analyzing Slow Model" 0 0 "Quartus II" 0 0 1509605732093 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509605732101 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509605732147 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509605732162 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509605732172 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509605732185 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 97.531 " "Worst-case minimum pulse width slack is 97.531" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1509605732208 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1509605732208 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 97.531 0.000 altera_reserved_tck " " 97.531 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1509605732208 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1509605732208 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1509605732322 ""} +{ "Info" "0" "" "Analyzing Fast Model" { } { } 0 0 "Analyzing Fast Model" 0 0 "Quartus II" 0 0 1509605732327 ""} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "clk " "Node: clk was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1509605732530 "|gA6_lab3|clk"} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509605732571 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509605732591 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509605732622 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509605732640 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 97.778 " "Worst-case minimum pulse width slack is 97.778" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1509605732693 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1509605732693 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 97.778 0.000 altera_reserved_tck " " 97.778 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1509605732693 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1509605732693 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1509605732807 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1509605733025 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1509605733056 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "534 " "Peak virtual memory: 534 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1509605733611 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Nov 02 02:55:33 2017 " "Processing ended: Thu Nov 02 02:55:33 2017" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1509605733611 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1509605733611 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1509605733611 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1509605733611 ""} diff --git a/lab3/db/gA6_lab3.sta.rdb b/lab3/db/gA6_lab3.sta.rdb index c2ac0cd..32bc7f1 100644 Binary files a/lab3/db/gA6_lab3.sta.rdb and b/lab3/db/gA6_lab3.sta.rdb differ diff --git a/lab3/db/gA6_lab3.sta_cmp.7_slow.tdb b/lab3/db/gA6_lab3.sta_cmp.7_slow.tdb index 5939a7f..ca3daf1 100644 Binary files a/lab3/db/gA6_lab3.sta_cmp.7_slow.tdb and b/lab3/db/gA6_lab3.sta_cmp.7_slow.tdb differ diff --git a/lab3/db/gA6_lab3.vpr.ammdb b/lab3/db/gA6_lab3.vpr.ammdb index 263ed7c..4426f1e 100644 Binary files a/lab3/db/gA6_lab3.vpr.ammdb and b/lab3/db/gA6_lab3.vpr.ammdb differ diff --git a/lab3/db/logic_util_heursitic.dat b/lab3/db/logic_util_heursitic.dat index 6d06604..5b3810f 100644 Binary files a/lab3/db/logic_util_heursitic.dat and b/lab3/db/logic_util_heursitic.dat differ diff --git a/lab3/db/lpm_constant_5j4.tdf b/lab3/db/lpm_constant_5j4.tdf new file mode 100644 index 0000000..e5bea75 --- /dev/null +++ b/lab3/db/lpm_constant_5j4.tdf @@ -0,0 +1,30 @@ +--lpm_constant LPM_CVALUE=0BC200 LPM_WIDTH=21 result +--VERSION_BEGIN 13.0 cbx_lpm_constant 2013:04:24:18:08:47:SJ cbx_mgl 2013:04:24:18:11:10:SJ VERSION_END + + +-- Copyright (C) 1991-2013 Altera Corporation +-- Your use of Altera Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Altera Program License +-- Subscription Agreement, Altera MegaCore Function License +-- Agreement, or other applicable license agreement, including, +-- without limitation, that your use is for the sole purpose of +-- programming logic devices manufactured by Altera and sold by +-- Altera or its authorized distributors. Please refer to the +-- applicable agreement for further details. + + + +--synthesis_resources = +SUBDESIGN lpm_constant_5j4 +( + result[20..0] : output; +) + +BEGIN + result[] = B"010111100001000000000"; +END; +--VALID FILE diff --git a/lab3/db/lpm_constant_ph4.tdf b/lab3/db/lpm_constant_ph4.tdf new file mode 100644 index 0000000..49dc72e --- /dev/null +++ b/lab3/db/lpm_constant_ph4.tdf @@ -0,0 +1,30 @@ +--lpm_constant LPM_CVALUE=0007D0 LPM_WIDTH=21 result +--VERSION_BEGIN 13.0 cbx_lpm_constant 2013:04:24:18:08:47:SJ cbx_mgl 2013:04:24:18:11:10:SJ VERSION_END + + +-- Copyright (C) 1991-2013 Altera Corporation +-- Your use of Altera Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Altera Program License +-- Subscription Agreement, Altera MegaCore Function License +-- Agreement, or other applicable license agreement, including, +-- without limitation, that your use is for the sole purpose of +-- programming logic devices manufactured by Altera and sold by +-- Altera or its authorized distributors. Please refer to the +-- applicable agreement for further details. + + + +--synthesis_resources = +SUBDESIGN lpm_constant_ph4 +( + result[20..0] : output; +) + +BEGIN + result[] = B"000000000011111010000"; +END; +--VALID FILE diff --git a/lab3/db/prev_cmp_gA6_lab3.qmsg b/lab3/db/prev_cmp_gA6_lab3.qmsg index 7bb595d..b36bb0e 100644 --- a/lab3/db/prev_cmp_gA6_lab3.qmsg +++ b/lab3/db/prev_cmp_gA6_lab3.qmsg @@ -1,675 +1,675 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1509590721700 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition " "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1509590721701 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Nov 01 22:45:21 2017 " "Processing started: Wed Nov 01 22:45:21 2017" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1509590721701 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1509590721701 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off gA6_lab3 -c gA6_lab3 " "Command: quartus_map --read_settings_files=on --write_settings_files=off gA6_lab3 -c gA6_lab3" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1509590721701 ""} -{ "Warning" "WACF_MISSING_TCL_FILE" "lpm_counter0.qip " "Tcl Script File lpm_counter0.qip not found" { { "Info" "IACF_ACF_ASSIGNMENT_INFO" "set_global_assignment -name QIP_FILE lpm_counter0.qip " "set_global_assignment -name QIP_FILE lpm_counter0.qip" { } { } 0 125063 "%1!s!" 0 0 "Quartus II" 0 -1 1509590721902 ""} } { } 0 125092 "Tcl Script File %1!s! not found" 0 0 "Quartus II" 0 -1 1509590721902 ""} -{ "Warning" "WACF_MISSING_TCL_FILE" "lpm_counter1.qip " "Tcl Script File lpm_counter1.qip not found" { { "Info" "IACF_ACF_ASSIGNMENT_INFO" "set_global_assignment -name QIP_FILE lpm_counter1.qip " "set_global_assignment -name QIP_FILE lpm_counter1.qip" { } { } 0 125063 "%1!s!" 0 0 "Quartus II" 0 -1 1509590721903 ""} } { } 0 125092 "Tcl Script File %1!s! not found" 0 0 "Quartus II" 0 -1 1509590721903 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS_MORE_LOGICAL" "2 2 4 " "Parallel Compilation has detected 4 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 2 of the 2 physical processors detected instead." { } { } 0 11104 "Parallel Compilation has detected %3!i! hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use %1!i! of the %2!i! physical processors detected instead." 0 0 "Quartus II" 0 -1 1509590722528 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "lpm_mux0.vhd 2 1 " "Found 2 design units, including 1 entities, in source file lpm_mux0.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 lpm_mux0-SYN " "Found design unit 1: lpm_mux0-SYN" { } { { "lpm_mux0.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/lpm_mux0.vhd" 103 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590723061 ""} { "Info" "ISGN_ENTITY_NAME" "1 lpm_mux0 " "Found entity 1: lpm_mux0" { } { { "lpm_mux0.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/lpm_mux0.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590723061 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590723061 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ga6_stack52.bdf 1 1 " "Found 1 design units, including 1 entities, in source file ga6_stack52.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_stack52 " "Found entity 1: gA6_stack52" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590723061 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590723061 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ga6_pop_enable.vhd 2 1 " "Found 2 design units, including 1 entities, in source file ga6_pop_enable.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 gA6_pop_enable-lookup " "Found design unit 1: gA6_pop_enable-lookup" { } { { "gA6_pop_enable.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 22 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590723061 ""} { "Info" "ISGN_ENTITY_NAME" "1 gA6_pop_enable " "Found entity 1: gA6_pop_enable" { } { { "gA6_pop_enable.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 14 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590723061 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590723061 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ga6_ff_enable52.bdf 1 1 " "Found 1 design units, including 1 entities, in source file ga6_ff_enable52.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_ff_enable52 " "Found entity 1: gA6_ff_enable52" { } { { "gA6_ff_enable52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_ff_enable52.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590723077 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590723077 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ga6_lab3.bdf 1 1 " "Found 1 design units, including 1 entities, in source file ga6_lab3.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_lab3 " "Found entity 1: gA6_lab3" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590723077 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590723077 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ga6_7_segment_decoder.vhd 2 1 " "Found 2 design units, including 1 entities, in source file ga6_7_segment_decoder.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 gA6_7_segment_decoder-behavior " "Found design unit 1: gA6_7_segment_decoder-behavior" { } { { "gA6_7_segment_decoder.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_7_segment_decoder.vhd" 20 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590723077 ""} { "Info" "ISGN_ENTITY_NAME" "1 gA6_7_segment_decoder " "Found entity 1: gA6_7_segment_decoder" { } { { "gA6_7_segment_decoder.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_7_segment_decoder.vhd" 12 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590723077 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590723077 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ga6_lab3_v1.bdf 1 1 " "Found 1 design units, including 1 entities, in source file ga6_lab3_v1.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_lab3_v1 " "Found entity 1: gA6_lab3_v1" { } { { "gA6_lab3_v1.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3_v1.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590723077 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590723077 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "gA6_lab3 " "Elaborating entity \"gA6_lab3\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1509590723921 ""} -{ "Warning" "WSGN_SEARCH_FILE" "ga6_testbed.bdf 1 1 " "Using design file ga6_testbed.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_testbed " "Found entity 1: gA6_testbed" { } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590723968 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1509590723968 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_testbed gA6_testbed:inst " "Elaborating entity \"gA6_testbed\" for hierarchy \"gA6_testbed:inst\"" { } { { "gA6_lab3.bdf" "inst" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 272 192 416 464 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590723968 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_stack52 gA6_testbed:inst\|gA6_stack52:inst4 " "Elaborating entity \"gA6_stack52\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\"" { } { { "ga6_testbed.bdf" "inst4" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 304 528 704 464 "inst4" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value0\[5..0\] value " "Bus \"value0\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 312 784 851 328 "value0\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value1\[5..0\] value " "Bus \"value1\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 536 784 853 552 "value1\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value2\[5..0\] value " "Bus \"value2\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 760 784 855 776 "value2\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value3\[5..0\] value " "Bus \"value3\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 984 784 856 1000 "value3\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value4\[5..0\] value " "Bus \"value4\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1208 784 857 1224 "value4\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value5\[5..0\] value " "Bus \"value5\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1432 784 855 1448 "value5\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value10\[5..0\] value1 " "Bus \"value10\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2552 784 862 2568 "value10\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value11\[5..0\] value1 " "Bus \"value11\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2776 784 861 2792 "value11\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value12\[5..0\] value1 " "Bus \"value12\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3000 784 860 3016 "value12\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value13\[5..0\] value1 " "Bus \"value13\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3224 784 857 3240 "value13\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value14\[5..0\] value1 " "Bus \"value14\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3448 784 855 3464 "value14\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value15\[5..0\] value1 " "Bus \"value15\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3672 784 856 3688 "value15\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value20\[5..0\] value2 " "Bus \"value20\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4792 784 855 4808 "value20\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value21\[5..0\] value2 " "Bus \"value21\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5016 784 853 5032 "value21\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value22\[5..0\] value2 " "Bus \"value22\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5240 784 857 5256 "value22\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value23\[5..0\] value2 " "Bus \"value23\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5464 784 855 5480 "value23\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value24\[5..0\] value2 " "Bus \"value24\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5688 784 854 5704 "value24\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value25\[5..0\] value2 " "Bus \"value25\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5912 784 856 5928 "value25\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value30\[5..0\] value3 " "Bus \"value30\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7032 784 859 7048 "value30\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value31\[5..0\] value3 " "Bus \"value31\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7256 784 854 7272 "value31\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value32\[5..0\] value3 " "Bus \"value32\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7480 784 857 7496 "value32\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value33\[5..0\] value3 " "Bus \"value33\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7704 784 853 7720 "value33\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value34\[5..0\] value3 " "Bus \"value34\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7928 784 854 7944 "value34\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value35\[5..0\] value3 " "Bus \"value35\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8152 784 857 8168 "value35\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value40\[5..0\] value4 " "Bus \"value40\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9272 784 854 9288 "value40\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value41\[5..0\] value4 " "Bus \"value41\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9496 784 852 9512 "value41\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value42\[5..0\] value4 " "Bus \"value42\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9720 784 856 9736 "value42\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value43\[5..0\] value4 " "Bus \"value43\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9944 784 857 9960 "value43\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value44\[5..0\] value4 " "Bus \"value44\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10168 784 857 10184 "value44\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value45\[5..0\] value4 " "Bus \"value45\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10392 784 857 10408 "value45\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value50\[5..0\] value5 " "Bus \"value50\[5..0\]\" found using same base name as \"value5\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11512 784 857 11528 "value50\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value51\[5..0\] value5 " "Bus \"value51\[5..0\]\" found using same base name as \"value5\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11736 784 859 11752 "value51\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value51\[5..0\] value5 " "Bus \"value51\[5..0\]\" found using same base name as \"value5\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 72 992 1061 88 "value51\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value50\[5..0\] value5 " "Bus \"value50\[5..0\]\" found using same base name as \"value5\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 88 992 1065 104 "value50\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value45\[5..0\] value4 " "Bus \"value45\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 168 992 1059 184 "value45\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value44\[5..0\] value4 " "Bus \"value44\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 184 992 1058 200 "value44\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value43\[5..0\] value4 " "Bus \"value43\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 200 992 1056 216 "value43\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value42\[5..0\] value4 " "Bus \"value42\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 216 992 1059 232 "value42\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value41\[5..0\] value4 " "Bus \"value41\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 232 992 1058 248 "value41\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value40\[5..0\] value4 " "Bus \"value40\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 248 992 1059 264 "value40\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value35\[5..0\] value3 " "Bus \"value35\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 328 992 1058 344 "value35\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value34\[5..0\] value3 " "Bus \"value34\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 344 992 1062 360 "value34\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value33\[5..0\] value3 " "Bus \"value33\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 360 992 1064 376 "value33\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value32\[5..0\] value3 " "Bus \"value32\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 376 992 1065 392 "value32\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value31\[5..0\] value3 " "Bus \"value31\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 392 992 1064 408 "value31\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value30\[5..0\] value3 " "Bus \"value30\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 408 992 1061 424 "value30\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value25\[5..0\] value2 " "Bus \"value25\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 488 992 1061 504 "value25\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value24\[5..0\] value2 " "Bus \"value24\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 504 992 1061 520 "value24\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value23\[5..0\] value2 " "Bus \"value23\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 520 992 1059 536 "value23\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value22\[5..0\] value2 " "Bus \"value22\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 536 992 1063 552 "value22\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590723999 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value21\[5..0\] value2 " "Bus \"value21\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 552 992 1065 568 "value21\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value20\[5..0\] value2 " "Bus \"value20\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 568 992 1067 584 "value20\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value15\[5..0\] value1 " "Bus \"value15\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 648 992 1063 664 "value15\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value14\[5..0\] value1 " "Bus \"value14\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 664 992 1062 680 "value14\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value13\[5..0\] value1 " "Bus \"value13\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 680 992 1061 696 "value13\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value12\[5..0\] value1 " "Bus \"value12\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 696 992 1061 712 "value12\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value11\[5..0\] value1 " "Bus \"value11\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 712 992 1059 728 "value11\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value10\[5..0\] value1 " "Bus \"value10\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 728 992 1060 744 "value10\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value5\[5..0\] value " "Bus \"value5\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 808 992 1064 824 "value5\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value4\[5..0\] value " "Bus \"value4\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 824 992 1058 840 "value4\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value3\[5..0\] value " "Bus \"value3\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 840 992 1061 856 "value3\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value2\[5..0\] value " "Bus \"value2\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 856 992 1056 872 "value2\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value1\[5..0\] value " "Bus \"value1\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 872 992 1057 888 "value1\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value0\[5..0\] value " "Bus \"value0\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 888 992 1059 904 "value0\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value " "Converted elements in bus name \"value\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value\[5..0\] value5..0 " "Converted element name(s) from \"value\[5..0\]\" to \"value5..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 64 592 768 80 "value\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 64 592 768 80 "value\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value0 " "Converted elements in bus name \"value0\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value0\[5..0\] value05..0 " "Converted element name(s) from \"value0\[5..0\]\" to \"value05..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 312 784 851 328 "value0\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value0\[5..0\] value05..0 " "Converted element name(s) from \"value0\[5..0\]\" to \"value05..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 888 992 1059 904 "value0\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 312 784 851 328 "value0\[5..0\]" "" } { 888 992 1059 904 "value0\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value1 " "Converted elements in bus name \"value1\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value1\[5..0\] value15..0 " "Converted element name(s) from \"value1\[5..0\]\" to \"value15..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 536 784 853 552 "value1\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value1\[5..0\] value15..0 " "Converted element name(s) from \"value1\[5..0\]\" to \"value15..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 872 992 1057 888 "value1\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 536 784 853 552 "value1\[5..0\]" "" } { 872 992 1057 888 "value1\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value10 " "Converted elements in bus name \"value10\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value10\[5..0\] value105..0 " "Converted element name(s) from \"value10\[5..0\]\" to \"value105..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2552 784 862 2568 "value10\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value10\[5..0\] value105..0 " "Converted element name(s) from \"value10\[5..0\]\" to \"value105..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 728 992 1060 744 "value10\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2552 784 862 2568 "value10\[5..0\]" "" } { 728 992 1060 744 "value10\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value11 " "Converted elements in bus name \"value11\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value11\[5..0\] value115..0 " "Converted element name(s) from \"value11\[5..0\]\" to \"value115..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2776 784 861 2792 "value11\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value11\[5..0\] value115..0 " "Converted element name(s) from \"value11\[5..0\]\" to \"value115..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 712 992 1059 728 "value11\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2776 784 861 2792 "value11\[5..0\]" "" } { 712 992 1059 728 "value11\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value12 " "Converted elements in bus name \"value12\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value12\[5..0\] value125..0 " "Converted element name(s) from \"value12\[5..0\]\" to \"value125..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3000 784 860 3016 "value12\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value12\[5..0\] value125..0 " "Converted element name(s) from \"value12\[5..0\]\" to \"value125..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 696 992 1061 712 "value12\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3000 784 860 3016 "value12\[5..0\]" "" } { 696 992 1061 712 "value12\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value13 " "Converted elements in bus name \"value13\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value13\[5..0\] value135..0 " "Converted element name(s) from \"value13\[5..0\]\" to \"value135..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3224 784 857 3240 "value13\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value13\[5..0\] value135..0 " "Converted element name(s) from \"value13\[5..0\]\" to \"value135..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 680 992 1061 696 "value13\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3224 784 857 3240 "value13\[5..0\]" "" } { 680 992 1061 696 "value13\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value14 " "Converted elements in bus name \"value14\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value14\[5..0\] value145..0 " "Converted element name(s) from \"value14\[5..0\]\" to \"value145..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3448 784 855 3464 "value14\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value14\[5..0\] value145..0 " "Converted element name(s) from \"value14\[5..0\]\" to \"value145..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 664 992 1062 680 "value14\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3448 784 855 3464 "value14\[5..0\]" "" } { 664 992 1062 680 "value14\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value15 " "Converted elements in bus name \"value15\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value15\[5..0\] value155..0 " "Converted element name(s) from \"value15\[5..0\]\" to \"value155..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3672 784 856 3688 "value15\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value15\[5..0\] value155..0 " "Converted element name(s) from \"value15\[5..0\]\" to \"value155..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 648 992 1063 664 "value15\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3672 784 856 3688 "value15\[5..0\]" "" } { 648 992 1063 664 "value15\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value2 " "Converted elements in bus name \"value2\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value2\[5..0\] value25..0 " "Converted element name(s) from \"value2\[5..0\]\" to \"value25..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 760 784 855 776 "value2\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value2\[5..0\] value25..0 " "Converted element name(s) from \"value2\[5..0\]\" to \"value25..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 856 992 1056 872 "value2\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 760 784 855 776 "value2\[5..0\]" "" } { 856 992 1056 872 "value2\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value20 " "Converted elements in bus name \"value20\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value20\[5..0\] value205..0 " "Converted element name(s) from \"value20\[5..0\]\" to \"value205..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4792 784 855 4808 "value20\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value20\[5..0\] value205..0 " "Converted element name(s) from \"value20\[5..0\]\" to \"value205..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 568 992 1067 584 "value20\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4792 784 855 4808 "value20\[5..0\]" "" } { 568 992 1067 584 "value20\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value21 " "Converted elements in bus name \"value21\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value21\[5..0\] value215..0 " "Converted element name(s) from \"value21\[5..0\]\" to \"value215..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5016 784 853 5032 "value21\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value21\[5..0\] value215..0 " "Converted element name(s) from \"value21\[5..0\]\" to \"value215..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 552 992 1065 568 "value21\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5016 784 853 5032 "value21\[5..0\]" "" } { 552 992 1065 568 "value21\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value22 " "Converted elements in bus name \"value22\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value22\[5..0\] value225..0 " "Converted element name(s) from \"value22\[5..0\]\" to \"value225..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5240 784 857 5256 "value22\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value22\[5..0\] value225..0 " "Converted element name(s) from \"value22\[5..0\]\" to \"value225..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 536 992 1063 552 "value22\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5240 784 857 5256 "value22\[5..0\]" "" } { 536 992 1063 552 "value22\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value23 " "Converted elements in bus name \"value23\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value23\[5..0\] value235..0 " "Converted element name(s) from \"value23\[5..0\]\" to \"value235..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5464 784 855 5480 "value23\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value23\[5..0\] value235..0 " "Converted element name(s) from \"value23\[5..0\]\" to \"value235..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 520 992 1059 536 "value23\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5464 784 855 5480 "value23\[5..0\]" "" } { 520 992 1059 536 "value23\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value24 " "Converted elements in bus name \"value24\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value24\[5..0\] value245..0 " "Converted element name(s) from \"value24\[5..0\]\" to \"value245..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5688 784 854 5704 "value24\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value24\[5..0\] value245..0 " "Converted element name(s) from \"value24\[5..0\]\" to \"value245..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 504 992 1061 520 "value24\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5688 784 854 5704 "value24\[5..0\]" "" } { 504 992 1061 520 "value24\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value25 " "Converted elements in bus name \"value25\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value25\[5..0\] value255..0 " "Converted element name(s) from \"value25\[5..0\]\" to \"value255..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5912 784 856 5928 "value25\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value25\[5..0\] value255..0 " "Converted element name(s) from \"value25\[5..0\]\" to \"value255..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 488 992 1061 504 "value25\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5912 784 856 5928 "value25\[5..0\]" "" } { 488 992 1061 504 "value25\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value3 " "Converted elements in bus name \"value3\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value3\[5..0\] value35..0 " "Converted element name(s) from \"value3\[5..0\]\" to \"value35..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 984 784 856 1000 "value3\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value3\[5..0\] value35..0 " "Converted element name(s) from \"value3\[5..0\]\" to \"value35..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 840 992 1061 856 "value3\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 984 784 856 1000 "value3\[5..0\]" "" } { 840 992 1061 856 "value3\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value30 " "Converted elements in bus name \"value30\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value30\[5..0\] value305..0 " "Converted element name(s) from \"value30\[5..0\]\" to \"value305..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7032 784 859 7048 "value30\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value30\[5..0\] value305..0 " "Converted element name(s) from \"value30\[5..0\]\" to \"value305..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 408 992 1061 424 "value30\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7032 784 859 7048 "value30\[5..0\]" "" } { 408 992 1061 424 "value30\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value31 " "Converted elements in bus name \"value31\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value31\[5..0\] value315..0 " "Converted element name(s) from \"value31\[5..0\]\" to \"value315..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7256 784 854 7272 "value31\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value31\[5..0\] value315..0 " "Converted element name(s) from \"value31\[5..0\]\" to \"value315..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 392 992 1064 408 "value31\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7256 784 854 7272 "value31\[5..0\]" "" } { 392 992 1064 408 "value31\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value32 " "Converted elements in bus name \"value32\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value32\[5..0\] value325..0 " "Converted element name(s) from \"value32\[5..0\]\" to \"value325..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7480 784 857 7496 "value32\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value32\[5..0\] value325..0 " "Converted element name(s) from \"value32\[5..0\]\" to \"value325..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 376 992 1065 392 "value32\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7480 784 857 7496 "value32\[5..0\]" "" } { 376 992 1065 392 "value32\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value33 " "Converted elements in bus name \"value33\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value33\[5..0\] value335..0 " "Converted element name(s) from \"value33\[5..0\]\" to \"value335..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7704 784 853 7720 "value33\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value33\[5..0\] value335..0 " "Converted element name(s) from \"value33\[5..0\]\" to \"value335..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 360 992 1064 376 "value33\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7704 784 853 7720 "value33\[5..0\]" "" } { 360 992 1064 376 "value33\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value34 " "Converted elements in bus name \"value34\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value34\[5..0\] value345..0 " "Converted element name(s) from \"value34\[5..0\]\" to \"value345..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7928 784 854 7944 "value34\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value34\[5..0\] value345..0 " "Converted element name(s) from \"value34\[5..0\]\" to \"value345..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 344 992 1062 360 "value34\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7928 784 854 7944 "value34\[5..0\]" "" } { 344 992 1062 360 "value34\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value35 " "Converted elements in bus name \"value35\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value35\[5..0\] value355..0 " "Converted element name(s) from \"value35\[5..0\]\" to \"value355..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8152 784 857 8168 "value35\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value35\[5..0\] value355..0 " "Converted element name(s) from \"value35\[5..0\]\" to \"value355..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 328 992 1058 344 "value35\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8152 784 857 8168 "value35\[5..0\]" "" } { 328 992 1058 344 "value35\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value4 " "Converted elements in bus name \"value4\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value4\[5..0\] value45..0 " "Converted element name(s) from \"value4\[5..0\]\" to \"value45..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1208 784 857 1224 "value4\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value4\[5..0\] value45..0 " "Converted element name(s) from \"value4\[5..0\]\" to \"value45..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 824 992 1058 840 "value4\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1208 784 857 1224 "value4\[5..0\]" "" } { 824 992 1058 840 "value4\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value40 " "Converted elements in bus name \"value40\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value40\[5..0\] value405..0 " "Converted element name(s) from \"value40\[5..0\]\" to \"value405..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9272 784 854 9288 "value40\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value40\[5..0\] value405..0 " "Converted element name(s) from \"value40\[5..0\]\" to \"value405..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 248 992 1059 264 "value40\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9272 784 854 9288 "value40\[5..0\]" "" } { 248 992 1059 264 "value40\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value41 " "Converted elements in bus name \"value41\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value41\[5..0\] value415..0 " "Converted element name(s) from \"value41\[5..0\]\" to \"value415..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9496 784 852 9512 "value41\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value41\[5..0\] value415..0 " "Converted element name(s) from \"value41\[5..0\]\" to \"value415..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 232 992 1058 248 "value41\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9496 784 852 9512 "value41\[5..0\]" "" } { 232 992 1058 248 "value41\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value42 " "Converted elements in bus name \"value42\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value42\[5..0\] value425..0 " "Converted element name(s) from \"value42\[5..0\]\" to \"value425..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9720 784 856 9736 "value42\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value42\[5..0\] value425..0 " "Converted element name(s) from \"value42\[5..0\]\" to \"value425..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 216 992 1059 232 "value42\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9720 784 856 9736 "value42\[5..0\]" "" } { 216 992 1059 232 "value42\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value43 " "Converted elements in bus name \"value43\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value43\[5..0\] value435..0 " "Converted element name(s) from \"value43\[5..0\]\" to \"value435..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9944 784 857 9960 "value43\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value43\[5..0\] value435..0 " "Converted element name(s) from \"value43\[5..0\]\" to \"value435..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 200 992 1056 216 "value43\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9944 784 857 9960 "value43\[5..0\]" "" } { 200 992 1056 216 "value43\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value44 " "Converted elements in bus name \"value44\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value44\[5..0\] value445..0 " "Converted element name(s) from \"value44\[5..0\]\" to \"value445..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10168 784 857 10184 "value44\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value44\[5..0\] value445..0 " "Converted element name(s) from \"value44\[5..0\]\" to \"value445..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 184 992 1058 200 "value44\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10168 784 857 10184 "value44\[5..0\]" "" } { 184 992 1058 200 "value44\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value45 " "Converted elements in bus name \"value45\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value45\[5..0\] value455..0 " "Converted element name(s) from \"value45\[5..0\]\" to \"value455..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10392 784 857 10408 "value45\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value45\[5..0\] value455..0 " "Converted element name(s) from \"value45\[5..0\]\" to \"value455..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 168 992 1059 184 "value45\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10392 784 857 10408 "value45\[5..0\]" "" } { 168 992 1059 184 "value45\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value5 " "Converted elements in bus name \"value5\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value5\[5..0\] value55..0 " "Converted element name(s) from \"value5\[5..0\]\" to \"value55..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1432 784 855 1448 "value5\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value5\[5..0\] value55..0 " "Converted element name(s) from \"value5\[5..0\]\" to \"value55..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 808 992 1064 824 "value5\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1432 784 855 1448 "value5\[5..0\]" "" } { 808 992 1064 824 "value5\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value50 " "Converted elements in bus name \"value50\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value50\[5..0\] value505..0 " "Converted element name(s) from \"value50\[5..0\]\" to \"value505..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11512 784 857 11528 "value50\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value50\[5..0\] value505..0 " "Converted element name(s) from \"value50\[5..0\]\" to \"value505..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 88 992 1065 104 "value50\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11512 784 857 11528 "value50\[5..0\]" "" } { 88 992 1065 104 "value50\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value51 " "Converted elements in bus name \"value51\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value51\[5..0\] value515..0 " "Converted element name(s) from \"value51\[5..0\]\" to \"value515..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11736 784 859 11752 "value51\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value51\[5..0\] value515..0 " "Converted element name(s) from \"value51\[5..0\]\" to \"value515..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 72 992 1061 88 "value51\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11736 784 859 11752 "value51\[5..0\]" "" } { 72 992 1061 88 "value51\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509590724014 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_COMPARE gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120 " "Elaborating entity \"LPM_COMPARE\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120\"" { } { { "gA6_stack52.bdf" "inst120" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1016 -64 64 1144 "inst120" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724046 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1016 -64 64 1144 "inst120" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590724046 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_PIPELINE 0 " "Parameter \"LPM_PIPELINE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724046 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724046 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724046 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1016 -64 64 1144 "inst120" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590724046 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_86h.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_86h.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_86h " "Found entity 1: cmpr_86h" { } { { "db/cmpr_86h.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_86h.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590724124 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590724124 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cmpr_86h gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120\|cmpr_86h:auto_generated " "Elaborating entity \"cmpr_86h\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120\|cmpr_86h:auto_generated\"" { } { { "lpm_compare.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_compare.tdf" 280 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724124 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_COUNTER gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115 " "Elaborating entity \"LPM_COUNTER\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115\"" { } { { "gA6_stack52.bdf" "inst115" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 656 -72 64 856 "inst115" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724171 ""} -{ "Warning" "WTDFX_ASSERTION" "The data\[\] port is connected but aload and sload are not connected or are ground. This means the data will be ignored " "Assertion warning: The data\[\] port is connected but aload and sload are not connected or are ground. This means the data will be ignored" { } { { "lpm_counter.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_counter.tdf" 475 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 416 -72 64 616 "inst115" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590724171 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 656 -72 64 856 "inst115" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590724171 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724171 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 52 " "Parameter \"LPM_SVALUE\" = \"52\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724171 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724171 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 656 -72 64 856 "inst115" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590724171 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_p2i.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_p2i.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_p2i " "Found entity 1: cntr_p2i" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590724249 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590724249 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cntr_p2i gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115\|cntr_p2i:auto_generated " "Elaborating entity \"cntr_p2i\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115\|cntr_p2i:auto_generated\"" { } { { "lpm_counter.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_counter.tdf" 258 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724249 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_COMPARE gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119 " "Elaborating entity \"LPM_COMPARE\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119\"" { } { { "gA6_stack52.bdf" "inst119" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 880 -64 64 1008 "inst119" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724249 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 880 -64 64 1008 "inst119" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590724249 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_PIPELINE 0 " "Parameter \"LPM_PIPELINE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724249 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724249 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724249 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 880 -64 64 1008 "inst119" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590724249 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_4nh.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_4nh.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_4nh " "Found entity 1: cmpr_4nh" { } { { "db/cmpr_4nh.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_4nh.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590724327 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590724327 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cmpr_4nh gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119\|cmpr_4nh:auto_generated " "Elaborating entity \"cmpr_4nh\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119\|cmpr_4nh:auto_generated\"" { } { { "lpm_compare.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_compare.tdf" 280 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724327 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_mux0 gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125 " "Elaborating entity \"lpm_mux0\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\"" { } { { "gA6_stack52.bdf" "inst125" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 48 1056 1200 928 "inst125" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724343 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_MUX gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component " "Elaborating entity \"LPM_MUX\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component\"" { } { { "lpm_mux0.vhd" "LPM_MUX_component" { Text "C:/home/abbas/dsd_A6/lab3/lpm_mux0.vhd" 529 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724374 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component\"" { } { { "lpm_mux0.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/lpm_mux0.vhd" 529 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590724374 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724374 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SIZE 52 " "Parameter \"LPM_SIZE\" = \"52\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724374 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 6 " "Parameter \"LPM_WIDTHS\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724374 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_PIPELINE 0 " "Parameter \"LPM_PIPELINE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724374 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_MUX " "Parameter \"LPM_TYPE\" = \"LPM_MUX\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724374 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_HINT UNUSED " "Parameter \"LPM_HINT\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724374 ""} } { { "lpm_mux0.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/lpm_mux0.vhd" 529 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590724374 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mux_v4e.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mux_v4e.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mux_v4e " "Found entity 1: mux_v4e" { } { { "db/mux_v4e.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/mux_v4e.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590724468 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590724468 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mux_v4e gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component\|mux_v4e:auto_generated " "Elaborating entity \"mux_v4e\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component\|mux_v4e:auto_generated\"" { } { { "lpm_mux.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_mux.tdf" 86 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724468 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\"" { } { { "gA6_stack52.bdf" "inst" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 240 584 760 384 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724499 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 240 584 760 384 "inst" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590724499 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 240 584 760 384 "inst" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590724499 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 240 584 760 384 "inst" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590724499 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724499 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724499 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 0 " "Parameter \"LPM_SVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724499 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724499 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 240 584 760 384 "inst" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590724499 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724514 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 240 584 760 384 "inst" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724514 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_ff_enable52 gA6_testbed:inst\|gA6_stack52:inst4\|gA6_ff_enable52:inst124 " "Elaborating entity \"gA6_ff_enable52\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_ff_enable52:inst124\"" { } { { "gA6_stack52.bdf" "inst124" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1288 -128 64 1384 "inst124" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724530 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_pop_enable gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104 " "Elaborating entity \"gA6_pop_enable\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\"" { } { { "gA6_stack52.bdf" "inst104" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1176 -112 64 1256 "inst104" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724530 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_ROM gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table " "Elaborating entity \"LPM_ROM\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\"" { } { { "gA6_pop_enable.vhd" "lookup_table" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 25 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724546 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\"" { } { { "gA6_pop_enable.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 25 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590724546 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 52 " "Parameter \"LPM_WIDTH\" = \"52\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724546 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHAD 6 " "Parameter \"LPM_WIDTHAD\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724546 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_NUMWORDS 64 " "Parameter \"LPM_NUMWORDS\" = \"64\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724546 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_ADDRESS_CONTROL REGISTERED " "Parameter \"LPM_ADDRESS_CONTROL\" = \"REGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724546 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_OUTDATA UNREGISTERED " "Parameter \"LPM_OUTDATA\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724546 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FILE gA6_popup_rom_data.mif " "Parameter \"LPM_FILE\" = \"gA6_popup_rom_data.mif\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724546 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_ROM " "Parameter \"LPM_TYPE\" = \"LPM_ROM\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724546 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INTENDED_DEVICE_FAMILY UNUSED " "Parameter \"INTENDED_DEVICE_FAMILY\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724546 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_HINT UNUSED " "Parameter \"LPM_HINT\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724546 ""} } { { "gA6_pop_enable.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 25 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590724546 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altrom gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom " "Elaborating entity \"altrom\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\"" { } { { "lpm_rom.tdf" "srom" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 54 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724593 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\"" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 54 3 0 } } { "gA6_pop_enable.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 25 0 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724593 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\|altsyncram:rom_block " "Elaborating entity \"altsyncram\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\|altsyncram:rom_block\"" { } { { "altrom.tdf" "rom_block" { Text "c:/altera/13.0/quartus/libraries/megafunctions/altrom.tdf" 88 6 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724624 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\|altsyncram:rom_block gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\|altsyncram:rom_block\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\"" { } { { "altrom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/altrom.tdf" 88 6 0 } } { "gA6_pop_enable.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 25 0 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724749 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_f911.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_f911.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_f911 " "Found entity 1: altsyncram_f911" { } { { "db/altsyncram_f911.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/altsyncram_f911.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590724843 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590724843 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_f911 gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\|altsyncram:rom_block\|altsyncram_f911:auto_generated " "Elaborating entity \"altsyncram_f911\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\|altsyncram:rom_block\|altsyncram_f911:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/altsyncram.tdf" 791 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724843 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "BUSMUX gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1 " "Elaborating entity \"BUSMUX\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\"" { } { { "gA6_stack52.bdf" "inst1" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 264 432 544 352 "inst1" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724858 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 264 432 544 352 "inst1" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590724858 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH 6 " "Parameter \"WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724858 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 264 432 544 352 "inst1" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590724858 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_MUX gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\|LPM_MUX:\$00000 " "Elaborating entity \"LPM_MUX\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\|LPM_MUX:\$00000\"" { } { { "busmux.tdf" "\$00000" { Text "c:/altera/13.0/quartus/libraries/megafunctions/busmux.tdf" 43 13 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724858 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\|LPM_MUX:\$00000 gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\|LPM_MUX:\$00000\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\"" { } { { "busmux.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/busmux.tdf" 43 13 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 264 432 544 352 "inst1" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724874 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mux_qmc.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mux_qmc.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mux_qmc " "Found entity 1: mux_qmc" { } { { "db/mux_qmc.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/mux_qmc.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590724952 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590724952 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mux_qmc gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\|LPM_MUX:\$00000\|mux_qmc:auto_generated " "Elaborating entity \"mux_qmc\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\|LPM_MUX:\$00000\|mux_qmc:auto_generated\"" { } { { "lpm_mux.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_mux.tdf" 86 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724952 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\"" { } { { "gA6_stack52.bdf" "inst2" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 464 584 760 608 "inst2" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724952 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 464 584 760 608 "inst2" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590724952 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 464 584 760 608 "inst2" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590724952 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst2"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 464 584 760 608 "inst2" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590724952 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724952 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724952 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 1 " "Parameter \"LPM_SVALUE\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724952 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724952 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 464 584 760 608 "inst2" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590724952 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724968 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 464 584 760 608 "inst2" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724968 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\"" { } { { "gA6_stack52.bdf" "inst5" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 688 584 760 832 "inst5" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724968 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 688 584 760 832 "inst5" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590724968 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 688 584 760 832 "inst5" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590724968 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst5"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 688 584 760 832 "inst5" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590724968 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724968 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724968 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 2 " "Parameter \"LPM_SVALUE\" = \"2\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724968 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724968 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 688 584 760 832 "inst5" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590724968 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724983 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 688 584 760 832 "inst5" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724983 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\"" { } { { "gA6_stack52.bdf" "inst7" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 912 584 760 1056 "inst7" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724983 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 912 584 760 1056 "inst7" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590724983 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 912 584 760 1056 "inst7" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590724983 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst7"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 912 584 760 1056 "inst7" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590724983 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724983 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724983 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 3 " "Parameter \"LPM_SVALUE\" = \"3\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724983 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724983 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 912 584 760 1056 "inst7" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590724983 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724983 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 912 584 760 1056 "inst7" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724983 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\"" { } { { "gA6_stack52.bdf" "inst9" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1136 584 760 1280 "inst9" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724999 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1136 584 760 1280 "inst9" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590724999 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1136 584 760 1280 "inst9" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590724999 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst9"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1136 584 760 1280 "inst9" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590724999 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724999 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724999 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 4 " "Parameter \"LPM_SVALUE\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724999 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724999 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1136 584 760 1280 "inst9" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590724999 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590724999 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1136 584 760 1280 "inst9" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725014 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\"" { } { { "gA6_stack52.bdf" "inst11" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1360 584 760 1504 "inst11" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725014 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1360 584 760 1504 "inst11" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725014 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1360 584 760 1504 "inst11" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725014 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst11"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1360 584 760 1504 "inst11" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725014 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725014 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725014 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 5 " "Parameter \"LPM_SVALUE\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725014 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725014 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1360 584 760 1504 "inst11" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725014 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725014 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1360 584 760 1504 "inst11" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725014 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\"" { } { { "gA6_stack52.bdf" "inst13" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1584 584 760 1728 "inst13" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725030 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1584 584 760 1728 "inst13" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725030 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1584 584 760 1728 "inst13" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725030 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst13"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1584 584 760 1728 "inst13" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725030 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725030 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725030 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 6 " "Parameter \"LPM_SVALUE\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725030 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725030 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1584 584 760 1728 "inst13" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725030 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725030 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1584 584 760 1728 "inst13" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725030 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\"" { } { { "gA6_stack52.bdf" "inst14" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1808 584 760 1952 "inst14" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725046 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1808 584 760 1952 "inst14" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725046 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1808 584 760 1952 "inst14" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725046 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst14"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1808 584 760 1952 "inst14" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725046 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725046 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725046 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 7 " "Parameter \"LPM_SVALUE\" = \"7\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725046 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725046 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1808 584 760 1952 "inst14" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725046 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725046 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1808 584 760 1952 "inst14" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725046 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\"" { } { { "gA6_stack52.bdf" "inst17" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2032 584 760 2176 "inst17" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725061 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2032 584 760 2176 "inst17" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725061 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2032 584 760 2176 "inst17" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725061 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst17"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2032 584 760 2176 "inst17" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725061 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725061 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725061 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 8 " "Parameter \"LPM_SVALUE\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725061 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725061 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2032 584 760 2176 "inst17" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725061 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725061 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2032 584 760 2176 "inst17" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725061 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\"" { } { { "gA6_stack52.bdf" "inst19" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2256 584 760 2400 "inst19" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725077 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2256 584 760 2400 "inst19" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725077 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2256 584 760 2400 "inst19" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725077 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst19"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2256 584 760 2400 "inst19" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725077 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725077 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725077 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 9 " "Parameter \"LPM_SVALUE\" = \"9\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725077 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725077 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2256 584 760 2400 "inst19" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725077 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725077 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2256 584 760 2400 "inst19" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725077 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\"" { } { { "gA6_stack52.bdf" "inst21" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2480 584 760 2624 "inst21" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725077 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2480 584 760 2624 "inst21" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725093 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2480 584 760 2624 "inst21" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725093 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst21"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2480 584 760 2624 "inst21" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725093 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725093 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725093 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 10 " "Parameter \"LPM_SVALUE\" = \"10\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725093 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725093 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2480 584 760 2624 "inst21" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725093 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725093 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2480 584 760 2624 "inst21" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725093 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\"" { } { { "gA6_stack52.bdf" "inst23" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2704 584 760 2848 "inst23" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725093 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2704 584 760 2848 "inst23" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725093 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2704 584 760 2848 "inst23" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725093 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst23"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2704 584 760 2848 "inst23" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725093 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725093 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725093 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 11 " "Parameter \"LPM_SVALUE\" = \"11\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725093 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725093 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2704 584 760 2848 "inst23" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725093 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725108 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2704 584 760 2848 "inst23" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725108 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\"" { } { { "gA6_stack52.bdf" "inst25" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2928 584 760 3072 "inst25" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725108 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2928 584 760 3072 "inst25" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725108 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2928 584 760 3072 "inst25" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725108 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst25"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2928 584 760 3072 "inst25" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725108 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725108 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725108 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 12 " "Parameter \"LPM_SVALUE\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725108 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725108 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2928 584 760 3072 "inst25" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725108 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725124 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2928 584 760 3072 "inst25" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725124 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\"" { } { { "gA6_stack52.bdf" "inst27" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3152 584 760 3296 "inst27" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725124 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3152 584 760 3296 "inst27" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725124 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3152 584 760 3296 "inst27" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725124 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst27"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3152 584 760 3296 "inst27" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725124 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725124 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725124 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 13 " "Parameter \"LPM_SVALUE\" = \"13\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725124 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725124 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3152 584 760 3296 "inst27" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725124 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725140 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3152 584 760 3296 "inst27" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725140 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\"" { } { { "gA6_stack52.bdf" "inst28" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3376 584 760 3520 "inst28" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725140 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3376 584 760 3520 "inst28" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725140 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3376 584 760 3520 "inst28" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725140 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst28"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3376 584 760 3520 "inst28" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725140 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725140 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725140 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 14 " "Parameter \"LPM_SVALUE\" = \"14\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725140 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725140 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3376 584 760 3520 "inst28" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725140 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725155 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3376 584 760 3520 "inst28" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725155 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\"" { } { { "gA6_stack52.bdf" "inst31" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3600 584 760 3744 "inst31" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725155 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3600 584 760 3744 "inst31" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725155 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3600 584 760 3744 "inst31" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725155 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst31"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3600 584 760 3744 "inst31" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725155 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725155 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725155 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 15 " "Parameter \"LPM_SVALUE\" = \"15\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725155 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725155 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3600 584 760 3744 "inst31" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725155 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725171 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3600 584 760 3744 "inst31" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725171 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\"" { } { { "gA6_stack52.bdf" "inst33" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3824 584 760 3968 "inst33" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725171 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3824 584 760 3968 "inst33" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725171 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3824 584 760 3968 "inst33" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725171 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst33"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3824 584 760 3968 "inst33" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725171 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725171 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725171 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 16 " "Parameter \"LPM_SVALUE\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725171 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725171 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3824 584 760 3968 "inst33" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725171 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725186 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3824 584 760 3968 "inst33" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725186 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\"" { } { { "gA6_stack52.bdf" "inst35" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4048 584 760 4192 "inst35" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725186 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4048 584 760 4192 "inst35" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725186 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4048 584 760 4192 "inst35" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725186 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst35"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4048 584 760 4192 "inst35" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725186 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725186 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725186 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 17 " "Parameter \"LPM_SVALUE\" = \"17\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725186 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725186 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4048 584 760 4192 "inst35" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725186 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725202 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4048 584 760 4192 "inst35" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725202 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\"" { } { { "gA6_stack52.bdf" "inst37" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4272 584 760 4416 "inst37" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725202 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4272 584 760 4416 "inst37" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725202 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4272 584 760 4416 "inst37" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725202 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst37"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4272 584 760 4416 "inst37" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725202 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725202 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725202 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 18 " "Parameter \"LPM_SVALUE\" = \"18\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725202 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725202 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4272 584 760 4416 "inst37" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725202 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725218 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4272 584 760 4416 "inst37" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725218 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\"" { } { { "gA6_stack52.bdf" "inst39" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4496 584 760 4640 "inst39" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725218 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4496 584 760 4640 "inst39" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725218 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4496 584 760 4640 "inst39" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725218 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst39"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4496 584 760 4640 "inst39" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725218 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725218 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725218 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 19 " "Parameter \"LPM_SVALUE\" = \"19\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725218 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725218 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4496 584 760 4640 "inst39" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725218 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725233 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4496 584 760 4640 "inst39" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725233 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\"" { } { { "gA6_stack52.bdf" "inst41" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4720 584 760 4864 "inst41" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725233 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4720 584 760 4864 "inst41" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725233 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4720 584 760 4864 "inst41" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725233 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst41"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4720 584 760 4864 "inst41" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725233 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725233 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725233 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 20 " "Parameter \"LPM_SVALUE\" = \"20\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725233 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725233 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4720 584 760 4864 "inst41" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725233 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725249 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4720 584 760 4864 "inst41" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725249 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\"" { } { { "gA6_stack52.bdf" "inst42" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4944 584 760 5088 "inst42" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725249 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4944 584 760 5088 "inst42" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725249 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4944 584 760 5088 "inst42" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725249 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst42"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4944 584 760 5088 "inst42" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725249 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725249 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725249 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 21 " "Parameter \"LPM_SVALUE\" = \"21\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725249 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725249 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4944 584 760 5088 "inst42" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725249 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725265 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4944 584 760 5088 "inst42" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725265 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\"" { } { { "gA6_stack52.bdf" "inst45" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5168 584 760 5312 "inst45" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725265 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5168 584 760 5312 "inst45" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725280 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5168 584 760 5312 "inst45" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725280 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst45"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5168 584 760 5312 "inst45" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725280 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725280 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725280 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 22 " "Parameter \"LPM_SVALUE\" = \"22\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725280 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725280 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5168 584 760 5312 "inst45" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725280 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725280 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5168 584 760 5312 "inst45" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725280 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\"" { } { { "gA6_stack52.bdf" "inst47" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5392 584 760 5536 "inst47" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725280 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5392 584 760 5536 "inst47" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725280 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5392 584 760 5536 "inst47" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725280 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst47"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5392 584 760 5536 "inst47" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725280 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725296 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725296 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 23 " "Parameter \"LPM_SVALUE\" = \"23\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725296 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725296 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5392 584 760 5536 "inst47" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725296 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725296 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5392 584 760 5536 "inst47" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725296 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\"" { } { { "gA6_stack52.bdf" "inst49" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5616 584 760 5760 "inst49" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725296 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5616 584 760 5760 "inst49" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725296 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5616 584 760 5760 "inst49" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725296 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst49"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5616 584 760 5760 "inst49" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725296 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725296 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725296 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 24 " "Parameter \"LPM_SVALUE\" = \"24\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725296 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725296 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5616 584 760 5760 "inst49" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725296 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725311 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5616 584 760 5760 "inst49" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725311 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\"" { } { { "gA6_stack52.bdf" "inst51" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5840 584 760 5984 "inst51" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725311 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5840 584 760 5984 "inst51" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725327 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5840 584 760 5984 "inst51" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725327 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst51"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5840 584 760 5984 "inst51" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725327 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725327 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725327 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 25 " "Parameter \"LPM_SVALUE\" = \"25\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725327 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725327 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5840 584 760 5984 "inst51" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725327 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725327 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5840 584 760 5984 "inst51" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725327 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\"" { } { { "gA6_stack52.bdf" "inst53" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6064 584 760 6208 "inst53" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725343 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6064 584 760 6208 "inst53" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725343 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6064 584 760 6208 "inst53" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725343 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst53"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6064 584 760 6208 "inst53" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725343 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725343 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725343 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 26 " "Parameter \"LPM_SVALUE\" = \"26\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725343 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725343 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6064 584 760 6208 "inst53" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725343 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725343 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6064 584 760 6208 "inst53" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725343 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\"" { } { { "gA6_stack52.bdf" "inst54" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6288 584 760 6432 "inst54" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725358 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6288 584 760 6432 "inst54" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725358 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6288 584 760 6432 "inst54" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725358 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst54"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6288 584 760 6432 "inst54" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725358 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725358 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725358 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 27 " "Parameter \"LPM_SVALUE\" = \"27\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725358 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725358 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6288 584 760 6432 "inst54" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725358 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725358 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6288 584 760 6432 "inst54" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725358 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\"" { } { { "gA6_stack52.bdf" "inst57" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6512 584 760 6656 "inst57" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725374 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6512 584 760 6656 "inst57" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725374 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6512 584 760 6656 "inst57" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725374 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst57"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6512 584 760 6656 "inst57" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725374 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725374 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725374 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 28 " "Parameter \"LPM_SVALUE\" = \"28\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725374 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725374 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6512 584 760 6656 "inst57" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725374 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725374 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6512 584 760 6656 "inst57" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725374 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\"" { } { { "gA6_stack52.bdf" "inst59" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6736 584 760 6880 "inst59" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725390 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6736 584 760 6880 "inst59" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725390 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6736 584 760 6880 "inst59" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725390 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst59"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6736 584 760 6880 "inst59" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725390 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725390 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725390 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 29 " "Parameter \"LPM_SVALUE\" = \"29\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725390 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725390 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6736 584 760 6880 "inst59" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725390 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725390 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6736 584 760 6880 "inst59" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725390 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\"" { } { { "gA6_stack52.bdf" "inst61" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6960 584 760 7104 "inst61" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725405 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6960 584 760 7104 "inst61" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725405 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6960 584 760 7104 "inst61" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725405 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst61"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6960 584 760 7104 "inst61" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725405 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725405 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725405 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 30 " "Parameter \"LPM_SVALUE\" = \"30\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725405 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725405 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6960 584 760 7104 "inst61" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725405 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725405 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6960 584 760 7104 "inst61" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725405 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\"" { } { { "gA6_stack52.bdf" "inst63" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7184 584 760 7328 "inst63" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725421 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7184 584 760 7328 "inst63" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725421 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7184 584 760 7328 "inst63" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725421 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst63"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7184 584 760 7328 "inst63" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725421 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725421 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725421 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 31 " "Parameter \"LPM_SVALUE\" = \"31\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725421 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725421 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7184 584 760 7328 "inst63" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725421 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725421 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7184 584 760 7328 "inst63" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725421 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\"" { } { { "gA6_stack52.bdf" "inst65" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7408 584 760 7552 "inst65" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725436 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7408 584 760 7552 "inst65" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725436 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7408 584 760 7552 "inst65" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725436 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst65"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7408 584 760 7552 "inst65" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725436 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725436 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725436 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 32 " "Parameter \"LPM_SVALUE\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725436 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725436 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7408 584 760 7552 "inst65" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725436 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725436 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7408 584 760 7552 "inst65" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725436 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\"" { } { { "gA6_stack52.bdf" "inst67" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7632 584 760 7776 "inst67" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725452 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7632 584 760 7776 "inst67" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725452 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7632 584 760 7776 "inst67" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725452 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst67"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7632 584 760 7776 "inst67" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725452 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725452 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725452 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 33 " "Parameter \"LPM_SVALUE\" = \"33\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725452 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725452 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7632 584 760 7776 "inst67" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725452 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725468 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7632 584 760 7776 "inst67" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725468 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\"" { } { { "gA6_stack52.bdf" "inst68" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7856 584 760 8000 "inst68" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725468 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7856 584 760 8000 "inst68" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725468 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7856 584 760 8000 "inst68" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725468 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst68"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7856 584 760 8000 "inst68" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725468 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725468 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725468 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 34 " "Parameter \"LPM_SVALUE\" = \"34\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725468 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725468 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7856 584 760 8000 "inst68" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725468 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725483 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7856 584 760 8000 "inst68" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725483 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\"" { } { { "gA6_stack52.bdf" "inst71" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8080 584 760 8224 "inst71" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725483 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8080 584 760 8224 "inst71" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725483 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8080 584 760 8224 "inst71" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725483 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst71"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8080 584 760 8224 "inst71" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725483 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725483 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725483 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 35 " "Parameter \"LPM_SVALUE\" = \"35\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725483 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725483 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8080 584 760 8224 "inst71" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725483 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725499 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8080 584 760 8224 "inst71" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725499 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\"" { } { { "gA6_stack52.bdf" "inst73" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8304 584 760 8448 "inst73" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725499 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8304 584 760 8448 "inst73" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725515 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8304 584 760 8448 "inst73" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725515 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst73"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8304 584 760 8448 "inst73" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725515 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725515 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725515 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 36 " "Parameter \"LPM_SVALUE\" = \"36\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725515 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725515 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8304 584 760 8448 "inst73" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725515 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725515 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8304 584 760 8448 "inst73" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725515 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\"" { } { { "gA6_stack52.bdf" "inst75" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8528 584 760 8672 "inst75" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725530 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8528 584 760 8672 "inst75" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725530 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8528 584 760 8672 "inst75" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725530 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst75"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8528 584 760 8672 "inst75" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725530 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725530 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725530 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 37 " "Parameter \"LPM_SVALUE\" = \"37\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725530 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725530 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8528 584 760 8672 "inst75" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725530 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725530 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8528 584 760 8672 "inst75" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725530 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\"" { } { { "gA6_stack52.bdf" "inst77" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8752 584 760 8896 "inst77" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725546 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8752 584 760 8896 "inst77" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725546 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8752 584 760 8896 "inst77" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725546 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst77"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8752 584 760 8896 "inst77" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725546 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725546 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725546 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 38 " "Parameter \"LPM_SVALUE\" = \"38\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725546 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725546 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8752 584 760 8896 "inst77" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725546 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725546 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8752 584 760 8896 "inst77" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725546 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\"" { } { { "gA6_stack52.bdf" "inst79" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8976 584 760 9120 "inst79" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725561 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8976 584 760 9120 "inst79" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725561 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8976 584 760 9120 "inst79" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725561 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst79"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8976 584 760 9120 "inst79" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725561 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725561 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725561 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 39 " "Parameter \"LPM_SVALUE\" = \"39\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725561 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725561 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8976 584 760 9120 "inst79" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725561 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725561 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8976 584 760 9120 "inst79" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725561 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\"" { } { { "gA6_stack52.bdf" "inst81" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9200 584 760 9344 "inst81" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725577 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9200 584 760 9344 "inst81" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725577 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9200 584 760 9344 "inst81" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725577 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst81"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9200 584 760 9344 "inst81" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725577 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725577 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725577 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 40 " "Parameter \"LPM_SVALUE\" = \"40\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725577 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725577 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9200 584 760 9344 "inst81" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725577 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725593 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9200 584 760 9344 "inst81" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725593 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\"" { } { { "gA6_stack52.bdf" "inst82" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9424 584 760 9568 "inst82" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725593 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9424 584 760 9568 "inst82" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725608 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9424 584 760 9568 "inst82" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725608 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst82"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9424 584 760 9568 "inst82" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725608 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725608 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725608 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 41 " "Parameter \"LPM_SVALUE\" = \"41\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725608 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725608 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9424 584 760 9568 "inst82" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725608 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725608 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9424 584 760 9568 "inst82" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725608 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\"" { } { { "gA6_stack52.bdf" "inst85" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9648 584 760 9792 "inst85" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725624 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9648 584 760 9792 "inst85" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725624 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9648 584 760 9792 "inst85" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725624 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst85"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9648 584 760 9792 "inst85" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725624 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725624 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725624 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 42 " "Parameter \"LPM_SVALUE\" = \"42\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725624 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725624 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9648 584 760 9792 "inst85" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725624 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725624 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9648 584 760 9792 "inst85" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725624 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\"" { } { { "gA6_stack52.bdf" "inst87" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9872 584 760 10016 "inst87" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725640 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9872 584 760 10016 "inst87" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725640 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9872 584 760 10016 "inst87" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725640 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst87"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9872 584 760 10016 "inst87" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725640 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725640 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725640 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 43 " "Parameter \"LPM_SVALUE\" = \"43\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725640 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725640 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9872 584 760 10016 "inst87" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725640 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725640 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9872 584 760 10016 "inst87" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725640 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\"" { } { { "gA6_stack52.bdf" "inst89" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10096 584 760 10240 "inst89" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725655 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10096 584 760 10240 "inst89" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725655 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10096 584 760 10240 "inst89" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725655 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst89"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10096 584 760 10240 "inst89" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725655 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725655 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725655 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 44 " "Parameter \"LPM_SVALUE\" = \"44\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725655 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725655 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10096 584 760 10240 "inst89" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725655 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725655 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10096 584 760 10240 "inst89" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725655 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\"" { } { { "gA6_stack52.bdf" "inst91" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10320 584 760 10464 "inst91" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725671 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10320 584 760 10464 "inst91" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725671 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10320 584 760 10464 "inst91" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725671 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst91"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10320 584 760 10464 "inst91" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725671 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725671 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725671 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 45 " "Parameter \"LPM_SVALUE\" = \"45\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725671 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725671 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10320 584 760 10464 "inst91" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725671 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725671 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10320 584 760 10464 "inst91" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725686 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\"" { } { { "gA6_stack52.bdf" "inst93" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10544 584 760 10688 "inst93" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725686 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10544 584 760 10688 "inst93" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725686 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10544 584 760 10688 "inst93" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725686 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst93"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10544 584 760 10688 "inst93" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725686 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725686 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725686 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 46 " "Parameter \"LPM_SVALUE\" = \"46\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725686 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725686 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10544 584 760 10688 "inst93" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725686 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725702 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10544 584 760 10688 "inst93" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725702 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\"" { } { { "gA6_stack52.bdf" "inst95" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10768 584 760 10912 "inst95" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725702 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10768 584 760 10912 "inst95" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725718 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10768 584 760 10912 "inst95" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725718 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst95"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10768 584 760 10912 "inst95" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725718 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725718 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725718 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 47 " "Parameter \"LPM_SVALUE\" = \"47\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725718 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725718 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10768 584 760 10912 "inst95" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725718 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725718 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10768 584 760 10912 "inst95" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725718 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\"" { } { { "gA6_stack52.bdf" "inst96" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10992 584 760 11136 "inst96" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725733 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10992 584 760 11136 "inst96" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725733 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10992 584 760 11136 "inst96" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725733 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst96"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10992 584 760 11136 "inst96" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725733 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725733 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725733 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 48 " "Parameter \"LPM_SVALUE\" = \"48\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725733 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725733 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10992 584 760 11136 "inst96" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725733 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725733 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10992 584 760 11136 "inst96" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725733 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\"" { } { { "gA6_stack52.bdf" "inst99" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11216 584 760 11360 "inst99" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725749 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11216 584 760 11360 "inst99" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725749 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11216 584 760 11360 "inst99" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725749 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst99"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11216 584 760 11360 "inst99" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725749 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725749 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725749 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 49 " "Parameter \"LPM_SVALUE\" = \"49\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725749 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725749 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11216 584 760 11360 "inst99" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725749 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725749 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11216 584 760 11360 "inst99" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725749 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\"" { } { { "gA6_stack52.bdf" "inst101" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11440 584 760 11584 "inst101" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725765 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11440 584 760 11584 "inst101" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725765 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11440 584 760 11584 "inst101" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725765 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst101"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11440 584 760 11584 "inst101" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725765 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725765 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725765 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 50 " "Parameter \"LPM_SVALUE\" = \"50\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725765 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725765 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11440 584 760 11584 "inst101" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725765 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725780 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11440 584 760 11584 "inst101" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725780 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\"" { } { { "gA6_stack52.bdf" "inst103" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11664 584 760 11808 "inst103" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725780 ""} -{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11664 584 760 11808 "inst103" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509590725780 ""} -{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11664 584 760 11808 "inst103" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509590725796 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst103"} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11664 584 760 11808 "inst103" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725796 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725796 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725796 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 51 " "Parameter \"LPM_SVALUE\" = \"51\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725796 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725796 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11664 584 760 11808 "inst103" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725796 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725796 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11664 584 760 11808 "inst103" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725796 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "BUSMUX gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst102 " "Elaborating entity \"BUSMUX\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst102\"" { } { { "gA6_stack52.bdf" "inst102" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11688 432 544 11776 "inst102" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725796 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst102 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst102\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11688 432 544 11776 "inst102" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725796 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst102 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst102\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH 6 " "Parameter \"WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725796 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11688 432 544 11776 "inst102" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725796 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_COMPARE gA6_testbed:inst\|LPM_COMPARE:inst1 " "Elaborating entity \"LPM_COMPARE\" for hierarchy \"gA6_testbed:inst\|LPM_COMPARE:inst1\"" { } { { "ga6_testbed.bdf" "inst1" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 256 264 392 384 "inst1" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725811 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|LPM_COMPARE:inst1 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|LPM_COMPARE:inst1\"" { } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 256 264 392 384 "inst1" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725811 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|LPM_COMPARE:inst1 " "Instantiated megafunction \"gA6_testbed:inst\|LPM_COMPARE:inst1\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 21 " "Parameter \"LPM_WIDTH\" = \"21\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725811 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725811 ""} } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 256 264 392 384 "inst1" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725811 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_6cg.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_6cg.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_6cg " "Found entity 1: cmpr_6cg" { } { { "db/cmpr_6cg.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_6cg.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590725890 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590725890 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cmpr_6cg gA6_testbed:inst\|LPM_COMPARE:inst1\|cmpr_6cg:auto_generated " "Elaborating entity \"cmpr_6cg\" for hierarchy \"gA6_testbed:inst\|LPM_COMPARE:inst1\|cmpr_6cg:auto_generated\"" { } { { "lpm_compare.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_compare.tdf" 280 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725890 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_COUNTER gA6_testbed:inst\|LPM_COUNTER:inst " "Elaborating entity \"LPM_COUNTER\" for hierarchy \"gA6_testbed:inst\|LPM_COUNTER:inst\"" { } { { "ga6_testbed.bdf" "inst" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 184 80 216 384 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725905 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|LPM_COUNTER:inst " "Elaborated megafunction instantiation \"gA6_testbed:inst\|LPM_COUNTER:inst\"" { } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 184 80 216 384 "inst" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725905 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|LPM_COUNTER:inst " "Instantiated megafunction \"gA6_testbed:inst\|LPM_COUNTER:inst\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 21 " "Parameter \"LPM_WIDTH\" = \"21\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725905 ""} } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 184 80 216 384 "inst" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725905 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_h1h.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_h1h.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_h1h " "Found entity 1: cntr_h1h" { } { { "db/cntr_h1h.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_h1h.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590725999 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590725999 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cntr_h1h gA6_testbed:inst\|LPM_COUNTER:inst\|cntr_h1h:auto_generated " "Elaborating entity \"cntr_h1h\" for hierarchy \"gA6_testbed:inst\|LPM_COUNTER:inst\|cntr_h1h:auto_generated\"" { } { { "lpm_counter.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_counter.tdf" 258 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725999 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|lpm_constant:inst2 " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|lpm_constant:inst2\"" { } { { "ga6_testbed.bdf" "inst2" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 184 264 400 248 "inst2" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725999 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|lpm_constant:inst2 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|lpm_constant:inst2\"" { } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 184 264 400 248 "inst2" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590725999 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|lpm_constant:inst2 " "Instantiated megafunction \"gA6_testbed:inst\|lpm_constant:inst2\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_CVALUE 20000000 " "Parameter \"LPM_CVALUE\" = \"20000000\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725999 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 21 " "Parameter \"LPM_WIDTH\" = \"21\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590725999 ""} } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 184 264 400 248 "inst2" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509590725999 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_7_segment_decoder gA6_testbed:inst\|gA6_7_segment_decoder:inst15 " "Elaborating entity \"gA6_7_segment_decoder\" for hierarchy \"gA6_testbed:inst\|gA6_7_segment_decoder:inst15\"" { } { { "ga6_testbed.bdf" "inst15" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 568 1040 1256 648 "inst15" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590726030 ""} -{ "Warning" "WSGN_SEARCH_FILE" "ga6_modulo_13.bdf 1 1 " "Using design file ga6_modulo_13.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_modulo_13 " "Found entity 1: gA6_modulo_13" { } { { "ga6_modulo_13.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590726046 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1509590726046 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_modulo_13 gA6_testbed:inst\|gA6_modulo_13:inst5 " "Elaborating entity \"gA6_modulo_13\" for hierarchy \"gA6_testbed:inst\|gA6_modulo_13:inst5\"" { } { { "ga6_testbed.bdf" "inst5" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 304 776 944 400 "inst5" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590726046 ""} -{ "Warning" "WGDFX_PRIMITIVE_IGNORED" "GND inst13 " "Primitive \"GND\" of instance \"inst13\" not used" { } { { "ga6_modulo_13.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { { 128 586 618 160 "inst13" "" } } } } } 0 275008 "Primitive \"%1!s!\" of instance \"%2!s!\" not used" 0 0 "Quartus II" 0 -1 1509590726046 ""} -{ "Warning" "WGDFX_PRIMITIVE_IGNORED" "GND inst7 " "Primitive \"GND\" of instance \"inst7\" not used" { } { { "ga6_modulo_13.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { { 272 410 442 304 "inst7" "" } } } } } 0 275008 "Primitive \"%1!s!\" of instance \"%2!s!\" not used" 0 0 "Quartus II" 0 -1 1509590726046 ""} -{ "Warning" "WGDFX_PRIMITIVE_IGNORED" "GND inst8 " "Primitive \"GND\" of instance \"inst8\" not used" { } { { "ga6_modulo_13.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { { 272 34 66 304 "inst8" "" } } } } } 0 275008 "Primitive \"%1!s!\" of instance \"%2!s!\" not used" 0 0 "Quartus II" 0 -1 1509590726046 ""} -{ "Warning" "WSGN_SEARCH_FILE" "ga6_adder8.bdf 1 1 " "Using design file ga6_adder8.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_adder8 " "Found entity 1: gA6_adder8" { } { { "ga6_adder8.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_adder8.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590726061 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1509590726061 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_adder8 gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_adder8:inst " "Elaborating entity \"gA6_adder8\" for hierarchy \"gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_adder8:inst\"" { } { { "ga6_modulo_13.bdf" "inst" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { { 136 130 258 232 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590726061 ""} -{ "Warning" "WSGN_SEARCH_FILE" "ga6_adder.bdf 1 1 " "Using design file ga6_adder.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_adder " "Found entity 1: gA6_adder" { } { { "ga6_adder.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_adder.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590726077 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1509590726077 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_adder gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_adder8:inst\|gA6_adder:inst7 " "Elaborating entity \"gA6_adder\" for hierarchy \"gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_adder8:inst\|gA6_adder:inst7\"" { } { { "ga6_adder8.bdf" "inst7" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_adder8.bdf" { { 200 48 144 296 "inst7" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590726093 ""} -{ "Warning" "WSGN_SEARCH_FILE" "ga6_adder6.bdf 1 1 " "Using design file ga6_adder6.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_adder6 " "Found entity 1: gA6_adder6" { } { { "ga6_adder6.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_adder6.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590726124 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1509590726124 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_adder6 gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_adder6:inst5 " "Elaborating entity \"gA6_adder6\" for hierarchy \"gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_adder6:inst5\"" { } { { "ga6_modulo_13.bdf" "inst5" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { { 280 74 202 376 "inst5" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590726124 ""} -{ "Warning" "WSGN_SEARCH_FILE" "ga6_not6.bdf 1 1 " "Using design file ga6_not6.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_not6 " "Found entity 1: gA6_not6" { } { { "ga6_not6.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_not6.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590726155 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1509590726155 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_not6 gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_not6:inst15 " "Elaborating entity \"gA6_not6\" for hierarchy \"gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_not6:inst15\"" { } { { "ga6_modulo_13.bdf" "inst15" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { { 296 258 386 368 "inst15" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509590726155 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_4q14.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_4q14.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_4q14 " "Found entity 1: altsyncram_4q14" { } { { "db/altsyncram_4q14.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/altsyncram_4q14.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590727311 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590727311 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mux_aoc.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mux_aoc.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mux_aoc " "Found entity 1: mux_aoc" { } { { "db/mux_aoc.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/mux_aoc.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590727499 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590727499 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/decode_rqf.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/decode_rqf.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 decode_rqf " "Found entity 1: decode_rqf" { } { { "db/decode_rqf.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/decode_rqf.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590727608 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590727608 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_3ci.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_3ci.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_3ci " "Found entity 1: cntr_3ci" { } { { "db/cntr_3ci.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_3ci.tdf" 30 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590727749 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590727749 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_acc.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_acc.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_acc " "Found entity 1: cmpr_acc" { } { { "db/cmpr_acc.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_acc.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590727827 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590727827 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_02j.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_02j.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_02j " "Found entity 1: cntr_02j" { } { { "db/cntr_02j.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_02j.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590727968 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590727968 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_sbi.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_sbi.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_sbi " "Found entity 1: cntr_sbi" { } { { "db/cntr_sbi.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_sbi.tdf" 30 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590728108 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590728108 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_8cc.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_8cc.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_8cc " "Found entity 1: cmpr_8cc" { } { { "db/cmpr_8cc.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_8cc.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590728186 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590728186 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_gui.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_gui.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_gui " "Found entity 1: cntr_gui" { } { { "db/cntr_gui.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_gui.tdf" 30 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590728359 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590728359 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_5cc.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_5cc.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_5cc " "Found entity 1: cmpr_5cc" { } { { "db/cmpr_5cc.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_5cc.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509590728452 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509590728452 ""} -{ "Info" "ISGN_AE_SUCCESSFUL" "auto_signaltap_0 " "Analysis and Synthesis generated SignalTap II or debug node instance \"auto_signaltap_0\"" { } { } 0 12033 "Analysis and Synthesis generated SignalTap II or debug node instance \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590728562 ""} -{ "Warning" "WMLS_MLS_CONVERT_TRI_TO_OR_HDR" "" "Tri-state node(s) do not directly drive top-level pin(s)" { { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[51\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[51\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[50\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[50\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[49\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[49\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[48\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[48\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[47\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[47\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[46\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[46\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[45\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[45\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[44\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[44\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[43\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[43\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[42\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[42\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[41\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[41\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[40\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[40\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[39\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[39\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[38\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[38\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[37\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[37\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[36\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[36\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[35\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[35\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[34\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[34\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[33\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[33\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[32\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[32\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[31\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[31\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[30\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[30\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[29\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[29\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[28\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[28\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[27\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[27\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[26\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[26\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[25\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[25\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[24\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[24\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[23\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[23\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[22\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[22\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[21\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[21\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[20\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[20\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[19\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[19\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[18\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[18\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[17\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[17\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[16\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[16\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[15\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[15\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[14\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[14\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[13\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[13\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[12\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[12\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[11\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[11\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[10\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[10\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[9\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[9\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[8\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[8\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[7\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[7\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[6\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[6\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[5\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[5\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[4\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[4\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[3\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[3\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[2\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[2\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[1\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[1\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[0\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[0\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509590729609 ""} } { } 0 13046 "Tri-state node(s) do not directly drive top-level pin(s)" 0 0 "Quartus II" 0 -1 1509590729609 ""} -{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[6\] GND " "Pin \"led_empty\[6\]\" is stuck at GND" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509590731687 "|gA6_lab3|led_empty[6]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[5\] VCC " "Pin \"led_empty\[5\]\" is stuck at VCC" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509590731687 "|gA6_lab3|led_empty[5]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[4\] VCC " "Pin \"led_empty\[4\]\" is stuck at VCC" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509590731687 "|gA6_lab3|led_empty[4]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[3\] VCC " "Pin \"led_empty\[3\]\" is stuck at VCC" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509590731687 "|gA6_lab3|led_empty[3]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[2\] VCC " "Pin \"led_empty\[2\]\" is stuck at VCC" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509590731687 "|gA6_lab3|led_empty[2]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[1\] VCC " "Pin \"led_empty\[1\]\" is stuck at VCC" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509590731687 "|gA6_lab3|led_empty[1]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[0\] VCC " "Pin \"led_empty\[0\]\" is stuck at VCC" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509590731687 "|gA6_lab3|led_empty[0]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_mode\[1\] GND " "Pin \"led_mode\[1\]\" is stuck at GND" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 144 320 496 160 "led_mode\[6..0\]" "" } { 328 416 530 340 "led_mode\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509590731687 "|gA6_lab3|led_mode[1]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1509590731687 ""} -{ "Info" "IMLS_MLS_PRESET_POWER_UP" "" "Registers with preset signals will power-up high" { } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 384 -1 0 } } { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 521 -1 0 } } } 0 13000 "Registers with preset signals will power-up high" 0 0 "Quartus II" 0 -1 1509590733703 ""} -{ "Info" "IMLS_MLS_DEV_CLRN_SETS_REGISTERS" "" "DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back" { } { } 0 13003 "DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back" 0 0 "Quartus II" 0 -1 1509590733703 ""} -{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "sld_hub:auto_hub\|receive\[0\]\[0\] GND " "Pin \"sld_hub:auto_hub\|receive\[0\]\[0\]\" is stuck at GND" { } { { "sld_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_hub.vhd" 181 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509590733765 "|gA6_lab3|sld_hub:auto_hub|receive[0][0]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1509590733765 ""} -{ "Critical Warning" "WAMERGE_SLD_INSTANCE_WITH_INVALID_CONNECTIONS" "auto_signaltap_0 93 95 0 0 2 " "Partially connected in-system debug instance \"auto_signaltap_0\" to 93 of its 95 required data inputs, trigger inputs, acquisition clocks, and dynamic pins. There were 0 illegal, 0 inaccessible, and 2 missing sources or connections." { } { } 1 35025 "Partially connected in-system debug instance \"%1!s!\" to %2!d! of its %3!d! required data inputs, trigger inputs, acquisition clocks, and dynamic pins. There were %4!d! illegal, %5!d! inaccessible, and %6!d! missing sources or connections." 0 0 "Quartus II" 0 -1 1509590734953 ""} -{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Quartus II" 0 -1 1509590735000 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509590735000 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "1963 " "Implemented 1963 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "15 " "Implemented 15 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1509590735343 ""} { "Info" "ICUT_CUT_TM_OPINS" "43 " "Implemented 43 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1509590735343 ""} { "Info" "ICUT_CUT_TM_LCELLS" "1805 " "Implemented 1805 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1509590735343 ""} { "Info" "ICUT_CUT_TM_RAMS" "99 " "Implemented 99 RAM segments" { } { } 0 21064 "Implemented %1!d! RAM segments" 0 0 "Quartus II" 0 -1 1509590735343 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1509590735343 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 343 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 343 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "638 " "Peak virtual memory: 638 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1509590735500 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Nov 01 22:45:35 2017 " "Processing ended: Wed Nov 01 22:45:35 2017" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1509590735500 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:14 " "Elapsed time: 00:00:14" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1509590735500 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:13 " "Total CPU time (on all processors): 00:00:13" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1509590735500 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1509590735500 ""} -{ "Warning" "WACF_MISSING_TCL_FILE" "lpm_counter0.qip " "Tcl Script File lpm_counter0.qip not found" { { "Info" "IACF_ACF_ASSIGNMENT_INFO" "set_global_assignment -name QIP_FILE lpm_counter0.qip " "set_global_assignment -name QIP_FILE lpm_counter0.qip" { } { } 0 125063 "%1!s!" 0 0 "Quartus II" 0 -1 1509590736925 ""} } { } 0 125092 "Tcl Script File %1!s! not found" 0 0 "Quartus II" 0 -1 1509590736925 ""} -{ "Warning" "WACF_MISSING_TCL_FILE" "lpm_counter1.qip " "Tcl Script File lpm_counter1.qip not found" { { "Info" "IACF_ACF_ASSIGNMENT_INFO" "set_global_assignment -name QIP_FILE lpm_counter1.qip " "set_global_assignment -name QIP_FILE lpm_counter1.qip" { } { } 0 125063 "%1!s!" 0 0 "Quartus II" 0 -1 1509590736925 ""} } { } 0 125092 "Tcl Script File %1!s! not found" 0 0 "Quartus II" 0 -1 1509590736925 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1509590736925 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 64-Bit " "Running Quartus II 64-Bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition " "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1509590736925 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Nov 01 22:45:36 2017 " "Processing started: Wed Nov 01 22:45:36 2017" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1509590736925 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1509590736925 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off gA6_lab3 -c gA6_lab3 " "Command: quartus_fit --read_settings_files=off --write_settings_files=off gA6_lab3 -c gA6_lab3" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1509590736925 ""} -{ "Info" "0" "" "qfit2_default_script.tcl version: #3" { } { } 0 0 "qfit2_default_script.tcl version: #3" 0 0 "Fitter" 0 0 1509590737019 ""} -{ "Info" "0" "" "Project = gA6_lab3" { } { } 0 0 "Project = gA6_lab3" 0 0 "Fitter" 0 0 1509590737019 ""} -{ "Info" "0" "" "Revision = gA6_lab3" { } { } 0 0 "Revision = gA6_lab3" 0 0 "Fitter" 0 0 1509590737019 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS_MORE_LOGICAL" "2 2 4 " "Parallel Compilation has detected 4 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 2 of the 2 physical processors detected instead." { } { } 0 11104 "Parallel Compilation has detected %3!i! hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use %1!i! of the %2!i! physical processors detected instead." 0 0 "Fitter" 0 -1 1509590737285 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "gA6_lab3 EP2C20F484C7 " "Selected device EP2C20F484C7 for design \"gA6_lab3\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1509590737300 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1509590737332 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1509590737332 ""} -{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1509590737457 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1509590737457 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C15AF484C7 " "Device EP2C15AF484C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1509590737904 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C35F484C7 " "Device EP2C35F484C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1509590737904 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C50F484C7 " "Device EP2C50F484C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1509590737904 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1509590737904 ""} -{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "3 " "Fitter converted 3 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ASDO~ C4 " "Pin ~ASDO~ is reserved at location C4" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { ~ASDO~ } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~ASDO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 5508 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1509590737920 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~nCSO~ C3 " "Pin ~nCSO~ is reserved at location C3" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { ~nCSO~ } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~nCSO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 5509 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1509590737920 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~LVDS91p/nCEO~ W20 " "Pin ~LVDS91p/nCEO~ is reserved at location W20" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { ~LVDS91p/nCEO~ } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~LVDS91p/nCEO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 5510 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1509590737920 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1509590737920 ""} -{ "Info" "IFSAC_FSAC_RAM_METASTABILITY_INFO" "" "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." { } { } 0 176045 "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." 0 0 "Fitter" 0 -1 1509590737920 ""} -{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "12 54 " "No exact pin location assignment(s) for 12 pins of 54 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "num\[5\] " "Pin num\[5\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { num[5] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "num\[5\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 192 320 496 208 "num" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { num[5] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 371 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509590738045 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "num\[4\] " "Pin num\[4\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { num[4] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "num\[4\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 192 320 496 208 "num" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { num[4] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 372 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509590738045 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "num\[3\] " "Pin num\[3\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { num[3] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "num\[3\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 192 320 496 208 "num" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { num[3] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 373 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509590738045 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "num\[2\] " "Pin num\[2\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { num[2] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "num\[2\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 192 320 496 208 "num" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { num[2] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 374 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509590738045 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "num\[1\] " "Pin num\[1\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { num[1] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "num\[1\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 192 320 496 208 "num" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { num[1] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 375 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509590738045 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "num\[0\] " "Pin num\[0\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { num[0] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "num\[0\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 192 320 496 208 "num" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { num[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 376 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509590738045 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[5\] " "Pin value\[5\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { value[5] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "value\[5\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 216 320 496 232 "value" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[5] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 377 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509590738045 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[4\] " "Pin value\[4\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { value[4] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "value\[4\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 216 320 496 232 "value" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[4] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 378 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509590738045 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[3\] " "Pin value\[3\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { value[3] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "value\[3\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 216 320 496 232 "value" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[3] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 379 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509590738045 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[2\] " "Pin value\[2\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { value[2] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "value\[2\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 216 320 496 232 "value" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[2] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 380 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509590738045 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[1\] " "Pin value\[1\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { value[1] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "value\[1\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 216 320 496 232 "value" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[1] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 381 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509590738045 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[0\] " "Pin value\[0\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { value[0] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "value\[0\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 216 320 496 232 "value" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 382 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509590738045 ""} } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "Fitter" 0 -1 1509590738045 ""} -{ "Info" "ISTA_SDC_STATEMENT_PARENT" "" "Evaluating HDL-embedded SDC commands" { { "Info" "ISTA_SDC_STATEMENT_ENTITY" "sld_jtag_hub " "Entity sld_jtag_hub" { { "Info" "ISTA_SDC_STATEMENT_EVAL" "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " { } { } 0 332166 "%1!s!" 0 0 "Quartus II" 0 -1 1509590738310 ""} { "Info" "ISTA_SDC_STATEMENT_EVAL" "set_clock_groups -asynchronous -group \{altera_reserved_tck\} " "set_clock_groups -asynchronous -group \{altera_reserved_tck\}" { } { } 0 332166 "%1!s!" 0 0 "Quartus II" 0 -1 1509590738310 ""} } { } 0 332165 "Entity %1!s!" 0 0 "Quartus II" 0 -1 1509590738310 ""} } { } 0 332164 "Evaluating HDL-embedded SDC commands" 0 0 "Fitter" 0 -1 1509590738310 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "gA6_lab3.sdc " "Synopsys Design Constraints File file not found: 'gA6_lab3.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1509590738326 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "clk " "Node: clk was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1509590738342 "|gA6_lab3|clk"} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1509590738357 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1509590738357 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1509590738357 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 100.000 altera_reserved_tck " " 100.000 altera_reserved_tck" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1509590738357 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1509590738357 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "clk (placed in PIN L1 (CLK0, LVDSCLK0p, Input)) " "Automatically promoted node clk (placed in PIN L1 (CLK0, LVDSCLK0p, Input))" { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock CLKCTRL_G2 " "Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G2" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1509590738529 ""} } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { clk } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "clk" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 120 112 280 136 "clk" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { clk } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 386 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1509590738529 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "altera_internal_jtag~TCKUTAP " "Automatically promoted node altera_internal_jtag~TCKUTAP " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1509590738529 ""} } { { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { altera_internal_jtag~TDO } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 2654 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1509590738529 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "gA6_testbed:inst\|lpm_compare:inst11\|cmpr_6cg:auto_generated\|aneb_result_wire\[0\] " "Automatically promoted node gA6_testbed:inst\|lpm_compare:inst11\|cmpr_6cg:auto_generated\|aneb_result_wire\[0\] " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1509590738529 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS" "" "Following destination nodes may be non-global or may not use global or regional clocks" { { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[5\]~0 " "Destination node gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[5\]~0" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 69 19 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|counter_reg_bit1a[5]~0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1893 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509590738529 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[4\]~2 " "Destination node gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[4\]~2" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 69 19 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|counter_reg_bit1a[4]~2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1897 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509590738529 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[2\]~3 " "Destination node gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[2\]~3" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 69 19 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|counter_reg_bit1a[2]~3 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1898 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509590738529 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[3\]~4 " "Destination node gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[3\]~4" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 69 19 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|counter_reg_bit1a[3]~4 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1899 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509590738529 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[1\]~5 " "Destination node gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[1\]~5" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 69 19 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|counter_reg_bit1a[1]~5 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1900 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509590738529 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[0\]~6 " "Destination node gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[0\]~6" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 69 19 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|counter_reg_bit1a[0]~6 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1901 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509590738529 ""} } { } 0 176356 "Following destination nodes may be non-global or may not use global or regional clocks" 0 0 "Quartus II" 0 -1 1509590738529 ""} } { { "db/cmpr_6cg.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_6cg.tdf" 30 18 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|lpm_compare:inst11|cmpr_6cg:auto_generated|aneb_result_wire[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1249 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1509590738529 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "sld_signaltap:auto_signaltap_0\|sld_signaltap_impl:sld_signaltap_body\|sld_signaltap_implb:sld_signaltap_body\|reset_all " "Automatically promoted node sld_signaltap:auto_signaltap_0\|sld_signaltap_impl:sld_signaltap_body\|sld_signaltap_implb:sld_signaltap_body\|reset_all " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1509590738529 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS" "" "Following destination nodes may be non-global or may not use global or regional clocks" { { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "sld_signaltap:auto_signaltap_0\|sld_signaltap_impl:sld_signaltap_body\|sld_signaltap_implb:sld_signaltap_body\|sld_offload_buffer_mgr:\\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst\|acq_buf_read_reset~6 " "Destination node sld_signaltap:auto_signaltap_0\|sld_signaltap_impl:sld_signaltap_body\|sld_signaltap_implb:sld_signaltap_body\|sld_offload_buffer_mgr:\\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst\|acq_buf_read_reset~6" { } { { "sld_buffer_manager.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_buffer_manager.vhd" 627 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~6 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 4441 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509590738529 ""} } { } 0 176356 "Following destination nodes may be non-global or may not use global or regional clocks" 0 0 "Quartus II" 0 -1 1509590738529 ""} } { { "sld_signaltap_impl.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_signaltap_impl.vhd" 842 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|reset_all } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 3790 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1509590738529 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|clr_reg " "Automatically promoted node sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|clr_reg " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1509590738529 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS" "" "Following destination nodes may be non-global or may not use global or regional clocks" { { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|clr_reg~_wirecell " "Destination node sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|clr_reg~_wirecell" { } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 373 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|clr_reg~_wirecell } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 2970 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509590738529 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "sld_signaltap:auto_signaltap_0\|sld_signaltap_impl:sld_signaltap_body\|sld_signaltap_implb:sld_signaltap_body\|reset_all~0 " "Destination node sld_signaltap:auto_signaltap_0\|sld_signaltap_impl:sld_signaltap_body\|sld_signaltap_implb:sld_signaltap_body\|reset_all~0" { } { { "sld_signaltap_impl.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_signaltap_impl.vhd" 842 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|reset_all~0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 4330 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509590738529 ""} } { } 0 176356 "Following destination nodes may be non-global or may not use global or regional clocks" 0 0 "Quartus II" 0 -1 1509590738529 ""} } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 373 -1 0 } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|clr_reg" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|clr_reg } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 2769 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1509590738529 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state\[0\] " "Automatically promoted node sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state\[0\] " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1509590738529 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS" "" "Following destination nodes may be non-global or may not use global or regional clocks" { { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state~0 " "Destination node sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state~0" { } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 1127 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state~0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 2872 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509590738529 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state~1 " "Destination node sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state~1" { } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 1127 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state~1 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 2873 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509590738529 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state\[0\]~_wirecell " "Destination node sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state\[0\]~_wirecell" { } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 1141 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state[0]~_wirecell } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 2971 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509590738529 ""} } { } 0 176356 "Following destination nodes may be non-global or may not use global or regional clocks" 0 0 "Quartus II" 0 -1 1509590738529 ""} } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 1141 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 2678 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1509590738529 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1509590738846 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1509590738846 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1509590738846 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1509590738846 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1509590738862 ""} -{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1509590738862 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1509590738862 ""} -{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1509590738862 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1509590738862 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Quartus II" 0 -1 1509590738878 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1509590738878 ""} -{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "12 unused 3.3V 0 12 0 " "Number of I/O pins in group: 12 (unused VREF, 3.3V VCCIO, 0 input, 12 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "I/O standards used: 3.3-V LVTTL." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Quartus II" 0 -1 1509590738893 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Quartus II" 0 -1 1509590738893 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1509590738893 ""} -{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 1 40 " "I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 40 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509590738893 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use 3.3V 36 1 " "I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 36 total pin(s) used -- 1 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509590738893 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 43 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509590738893 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 40 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509590738893 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 2 37 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 37 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509590738893 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use 3.3V 6 30 " "I/O bank number 6 does not use VREF pins and has 3.3V VCCIO pins. 6 total pin(s) used -- 30 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509590738893 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 2 38 " "I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 38 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509590738893 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 2 41 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 41 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509590738893 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Quartus II" 0 -1 1509590738893 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1509590738893 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1509590738956 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1509590739924 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:01 " "Fitter placement preparation operations ending: elapsed time is 00:00:01" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1509590740596 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1509590740628 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1509590741268 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1509590741268 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1509590741596 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "1 " "Router estimated average interconnect usage is 1% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "8 X12_Y14 X24_Y27 " "Router estimated peak interconnect usage is 8% of the available device resources in the region that extends from location X12_Y14 to location X24_Y27" { } { { "loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 1 { 0 "Router estimated peak interconnect usage is 8% of the available device resources in the region that extends from location X12_Y14 to location X24_Y27"} { { 11 { 0 "Router estimated peak interconnect usage is 8% of the available device resources in the region that extends from location X12_Y14 to location X24_Y27"} 12 14 13 14 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1509590744111 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1509590744111 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:02 " "Fitter routing operations ending: elapsed time is 00:00:02" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1509590744392 ""} -{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Quartus II" 0 -1 1509590744408 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Quartus II" 0 -1 1509590744408 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1509590744408 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.41 " "Total time spent on timing analysis during the Fitter is 0.41 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1509590744486 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1509590744486 ""} -{ "Warning" "WDAT_NO_LOADING_SPECIFIED_ONE_OR_MORE_PINS" "42 " "Found 42 output pins without output pin load capacitance assignment" { { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "empty 0 " "Pin \"empty\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "full 0 " "Pin \"full\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[6\] 0 " "Pin \"led_empty\[6\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[5\] 0 " "Pin \"led_empty\[5\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[4\] 0 " "Pin \"led_empty\[4\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[3\] 0 " "Pin \"led_empty\[3\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[2\] 0 " "Pin \"led_empty\[2\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[1\] 0 " "Pin \"led_empty\[1\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[0\] 0 " "Pin \"led_empty\[0\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[6\] 0 " "Pin \"led_floor\[6\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[5\] 0 " "Pin \"led_floor\[5\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[4\] 0 " "Pin \"led_floor\[4\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[3\] 0 " "Pin \"led_floor\[3\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[2\] 0 " "Pin \"led_floor\[2\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[1\] 0 " "Pin \"led_floor\[1\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[0\] 0 " "Pin \"led_floor\[0\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[6\] 0 " "Pin \"led_mod\[6\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[5\] 0 " "Pin \"led_mod\[5\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[4\] 0 " "Pin \"led_mod\[4\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[3\] 0 " "Pin \"led_mod\[3\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[2\] 0 " "Pin \"led_mod\[2\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[1\] 0 " "Pin \"led_mod\[1\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[0\] 0 " "Pin \"led_mod\[0\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[6\] 0 " "Pin \"led_mode\[6\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[5\] 0 " "Pin \"led_mode\[5\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[4\] 0 " "Pin \"led_mode\[4\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[3\] 0 " "Pin \"led_mode\[3\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[2\] 0 " "Pin \"led_mode\[2\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[1\] 0 " "Pin \"led_mode\[1\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[0\] 0 " "Pin \"led_mode\[0\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "num\[5\] 0 " "Pin \"num\[5\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "num\[4\] 0 " "Pin \"num\[4\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "num\[3\] 0 " "Pin \"num\[3\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "num\[2\] 0 " "Pin \"num\[2\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "num\[1\] 0 " "Pin \"num\[1\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "num\[0\] 0 " "Pin \"num\[0\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[5\] 0 " "Pin \"value\[5\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[4\] 0 " "Pin \"value\[4\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[3\] 0 " "Pin \"value\[3\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[2\] 0 " "Pin \"value\[2\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[1\] 0 " "Pin \"value\[1\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[0\] 0 " "Pin \"value\[0\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509590744549 ""} } { } 0 306006 "Found %1!d! output pins without output pin load capacitance assignment" 0 0 "Fitter" 0 -1 1509590744549 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1509590744986 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1509590745127 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1509590745580 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:01 " "Fitter post-fit operations ending: elapsed time is 00:00:01" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1509590745877 ""} -{ "Info" "IFSAC_FSAC_RAM_METASTABILITY_INFO" "" "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." { } { } 0 176045 "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." 0 0 "Fitter" 0 -1 1509590745908 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1509590746002 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/home/abbas/dsd_A6/lab3/output_files/gA6_lab3.fit.smsg " "Generated suppressed messages file C:/home/abbas/dsd_A6/lab3/output_files/gA6_lab3.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1509590746361 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 8 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 8 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "961 " "Peak virtual memory: 961 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1509590747189 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Nov 01 22:45:47 2017 " "Processing ended: Wed Nov 01 22:45:47 2017" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1509590747189 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:11 " "Elapsed time: 00:00:11" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1509590747189 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:12 " "Total CPU time (on all processors): 00:00:12" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1509590747189 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1509590747189 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1509590748314 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition " "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1509590748314 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Nov 01 22:45:48 2017 " "Processing started: Wed Nov 01 22:45:48 2017" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1509590748314 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1509590748314 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off gA6_lab3 -c gA6_lab3 " "Command: quartus_asm --read_settings_files=off --write_settings_files=off gA6_lab3 -c gA6_lab3" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1509590748314 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1509590749627 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1509590749674 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "503 " "Peak virtual memory: 503 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1509590750323 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Nov 01 22:45:50 2017 " "Processing ended: Wed Nov 01 22:45:50 2017" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1509590750323 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1509590750323 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1509590750323 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1509590750323 ""} -{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1509590750994 ""} -{ "Warning" "WACF_MISSING_TCL_FILE" "lpm_counter0.qip " "Tcl Script File lpm_counter0.qip not found" { { "Info" "IACF_ACF_ASSIGNMENT_INFO" "set_global_assignment -name QIP_FILE lpm_counter0.qip " "set_global_assignment -name QIP_FILE lpm_counter0.qip" { } { } 0 125063 "%1!s!" 0 0 "Quartus II" 0 -1 1509590751666 ""} } { } 0 125092 "Tcl Script File %1!s! not found" 0 0 "Assembler" 0 -1 1509590751666 ""} -{ "Warning" "WACF_MISSING_TCL_FILE" "lpm_counter1.qip " "Tcl Script File lpm_counter1.qip not found" { { "Info" "IACF_ACF_ASSIGNMENT_INFO" "set_global_assignment -name QIP_FILE lpm_counter1.qip " "set_global_assignment -name QIP_FILE lpm_counter1.qip" { } { } 0 125063 "%1!s!" 0 0 "Quartus II" 0 -1 1509590751666 ""} } { } 0 125092 "Tcl Script File %1!s! not found" 0 0 "Assembler" 0 -1 1509590751666 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1509590751666 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition " "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1509590751666 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Nov 01 22:45:51 2017 " "Processing started: Wed Nov 01 22:45:51 2017" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1509590751666 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1509590751666 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta gA6_lab3 -c gA6_lab3 " "Command: quartus_sta gA6_lab3 -c gA6_lab3" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1509590751666 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1509590751776 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS_MORE_LOGICAL" "2 2 4 " "Parallel Compilation has detected 4 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 2 of the 2 physical processors detected instead." { } { } 0 11104 "Parallel Compilation has detected %3!i! hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use %1!i! of the %2!i! physical processors detected instead." 0 0 "Quartus II" 0 -1 1509590752073 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1509590752104 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1509590752104 ""} -{ "Info" "ISTA_SDC_STATEMENT_PARENT" "" "Evaluating HDL-embedded SDC commands" { { "Info" "ISTA_SDC_STATEMENT_ENTITY" "sld_jtag_hub " "Entity sld_jtag_hub" { { "Info" "ISTA_SDC_STATEMENT_EVAL" "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " { } { } 0 332166 "%1!s!" 0 0 "Quartus II" 0 -1 1509590752338 ""} { "Info" "ISTA_SDC_STATEMENT_EVAL" "set_clock_groups -asynchronous -group \{altera_reserved_tck\} " "set_clock_groups -asynchronous -group \{altera_reserved_tck\}" { } { } 0 332166 "%1!s!" 0 0 "Quartus II" 0 -1 1509590752338 ""} } { } 0 332165 "Entity %1!s!" 0 0 "Quartus II" 0 -1 1509590752338 ""} } { } 0 332164 "Evaluating HDL-embedded SDC commands" 0 0 "Quartus II" 0 -1 1509590752338 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "gA6_lab3.sdc " "Synopsys Design Constraints File file not found: 'gA6_lab3.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1509590752354 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "clk " "Node: clk was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1509590752354 "|gA6_lab3|clk"} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1509590752370 ""} -{ "Info" "0" "" "Analyzing Slow Model" { } { } 0 0 "Analyzing Slow Model" 0 0 "Quartus II" 0 0 1509590752385 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509590752385 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509590752401 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509590752401 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509590752416 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509590752416 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 97.531 " "Worst-case minimum pulse width slack is 97.531" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1509590752416 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1509590752416 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 97.531 0.000 altera_reserved_tck " " 97.531 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1509590752416 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1509590752416 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1509590752495 ""} -{ "Info" "0" "" "Analyzing Fast Model" { } { } 0 0 "Analyzing Fast Model" 0 0 "Quartus II" 0 0 1509590752495 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "clk " "Node: clk was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1509590752588 "|gA6_lab3|clk"} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509590752604 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509590752620 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509590752620 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509590752635 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 97.778 " "Worst-case minimum pulse width slack is 97.778" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1509590752666 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1509590752666 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 97.778 0.000 altera_reserved_tck " " 97.778 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1509590752666 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1509590752666 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1509590752729 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1509590752807 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1509590752823 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "526 " "Peak virtual memory: 526 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1509590753276 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Nov 01 22:45:53 2017 " "Processing ended: Wed Nov 01 22:45:53 2017" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1509590753276 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1509590753276 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1509590753276 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1509590753276 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1509590754507 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus II 64-Bit " "Running Quartus II 64-Bit EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition " "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1509590754507 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Nov 01 22:45:54 2017 " "Processing started: Wed Nov 01 22:45:54 2017" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1509590754507 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1509590754507 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off gA6_lab3 -c gA6_lab3 " "Command: quartus_eda --read_settings_files=off --write_settings_files=off gA6_lab3 -c gA6_lab3" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1509590754507 ""} -{ "Info" "IWSC_DONE_HDL_GENERATION" "gA6_lab3.vo C:/home/abbas/dsd_A6/lab3/simulation/modelsim/ simulation " "Generated file gA6_lab3.vo in folder \"C:/home/abbas/dsd_A6/lab3/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "Quartus II" 0 -1 1509590755310 ""} -{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit EDA Netlist Writer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "488 " "Peak virtual memory: 488 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1509590755606 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Nov 01 22:45:55 2017 " "Processing ended: Wed Nov 01 22:45:55 2017" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1509590755606 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1509590755606 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1509590755606 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1509590755606 ""} -{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 356 s " "Quartus II Full Compilation was successful. 0 errors, 356 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1509590756268 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1509598999726 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition " "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1509598999726 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Nov 02 01:03:19 2017 " "Processing started: Thu Nov 02 01:03:19 2017" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1509598999726 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1509598999726 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off gA6_lab3 -c gA6_lab3 " "Command: quartus_map --read_settings_files=on --write_settings_files=off gA6_lab3 -c gA6_lab3" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1509598999726 ""} +{ "Warning" "WACF_MISSING_TCL_FILE" "lpm_counter0.qip " "Tcl Script File lpm_counter0.qip not found" { { "Info" "IACF_ACF_ASSIGNMENT_INFO" "set_global_assignment -name QIP_FILE lpm_counter0.qip " "set_global_assignment -name QIP_FILE lpm_counter0.qip" { } { } 0 125063 "%1!s!" 0 0 "Quartus II" 0 -1 1509598999914 ""} } { } 0 125092 "Tcl Script File %1!s! not found" 0 0 "Quartus II" 0 -1 1509598999914 ""} +{ "Warning" "WACF_MISSING_TCL_FILE" "lpm_counter1.qip " "Tcl Script File lpm_counter1.qip not found" { { "Info" "IACF_ACF_ASSIGNMENT_INFO" "set_global_assignment -name QIP_FILE lpm_counter1.qip " "set_global_assignment -name QIP_FILE lpm_counter1.qip" { } { } 0 125063 "%1!s!" 0 0 "Quartus II" 0 -1 1509598999914 ""} } { } 0 125092 "Tcl Script File %1!s! not found" 0 0 "Quartus II" 0 -1 1509598999914 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS_MORE_LOGICAL" "2 2 4 " "Parallel Compilation has detected 4 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 2 of the 2 physical processors detected instead." { } { } 0 11104 "Parallel Compilation has detected %3!i! hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use %1!i! of the %2!i! physical processors detected instead." 0 0 "Quartus II" 0 -1 1509599000273 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "lpm_mux0.vhd 2 1 " "Found 2 design units, including 1 entities, in source file lpm_mux0.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 lpm_mux0-SYN " "Found design unit 1: lpm_mux0-SYN" { } { { "lpm_mux0.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/lpm_mux0.vhd" 103 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599000820 ""} { "Info" "ISGN_ENTITY_NAME" "1 lpm_mux0 " "Found entity 1: lpm_mux0" { } { { "lpm_mux0.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/lpm_mux0.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599000820 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509599000820 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ga6_stack52.bdf 1 1 " "Found 1 design units, including 1 entities, in source file ga6_stack52.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_stack52 " "Found entity 1: gA6_stack52" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599000836 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509599000836 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ga6_pop_enable.vhd 2 1 " "Found 2 design units, including 1 entities, in source file ga6_pop_enable.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 gA6_pop_enable-lookup " "Found design unit 1: gA6_pop_enable-lookup" { } { { "gA6_pop_enable.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 22 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599000836 ""} { "Info" "ISGN_ENTITY_NAME" "1 gA6_pop_enable " "Found entity 1: gA6_pop_enable" { } { { "gA6_pop_enable.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 14 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599000836 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509599000836 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ga6_ff_enable52.bdf 1 1 " "Found 1 design units, including 1 entities, in source file ga6_ff_enable52.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_ff_enable52 " "Found entity 1: gA6_ff_enable52" { } { { "gA6_ff_enable52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_ff_enable52.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599000836 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509599000836 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ga6_lab3.bdf 1 1 " "Found 1 design units, including 1 entities, in source file ga6_lab3.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_lab3 " "Found entity 1: gA6_lab3" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599000836 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509599000836 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ga6_7_segment_decoder.vhd 2 1 " "Found 2 design units, including 1 entities, in source file ga6_7_segment_decoder.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 gA6_7_segment_decoder-behavior " "Found design unit 1: gA6_7_segment_decoder-behavior" { } { { "gA6_7_segment_decoder.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_7_segment_decoder.vhd" 20 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599000851 ""} { "Info" "ISGN_ENTITY_NAME" "1 gA6_7_segment_decoder " "Found entity 1: gA6_7_segment_decoder" { } { { "gA6_7_segment_decoder.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_7_segment_decoder.vhd" 12 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599000851 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509599000851 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ga6_lab3_v1.bdf 1 1 " "Found 1 design units, including 1 entities, in source file ga6_lab3_v1.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_lab3_v1 " "Found entity 1: gA6_lab3_v1" { } { { "gA6_lab3_v1.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3_v1.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599000851 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509599000851 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "gA6_lab3 " "Elaborating entity \"gA6_lab3\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1509599001851 ""} +{ "Warning" "WSGN_SEARCH_FILE" "ga6_testbed.bdf 1 1 " "Using design file ga6_testbed.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_testbed " "Found entity 1: gA6_testbed" { } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599001867 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1509599001867 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_testbed gA6_testbed:inst " "Elaborating entity \"gA6_testbed\" for hierarchy \"gA6_testbed:inst\"" { } { { "gA6_lab3.bdf" "inst" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 272 192 416 464 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001867 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_stack52 gA6_testbed:inst\|gA6_stack52:inst4 " "Elaborating entity \"gA6_stack52\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\"" { } { { "ga6_testbed.bdf" "inst4" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 304 528 704 464 "inst4" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001867 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value0\[5..0\] value " "Bus \"value0\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 312 784 851 328 "value0\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001867 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value1\[5..0\] value " "Bus \"value1\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 536 784 853 552 "value1\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001867 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value2\[5..0\] value " "Bus \"value2\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 760 784 855 776 "value2\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value3\[5..0\] value " "Bus \"value3\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 984 784 856 1000 "value3\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value4\[5..0\] value " "Bus \"value4\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1208 784 857 1224 "value4\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value5\[5..0\] value " "Bus \"value5\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1432 784 855 1448 "value5\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value10\[5..0\] value1 " "Bus \"value10\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2552 784 862 2568 "value10\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value11\[5..0\] value1 " "Bus \"value11\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2776 784 861 2792 "value11\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value12\[5..0\] value1 " "Bus \"value12\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3000 784 860 3016 "value12\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value13\[5..0\] value1 " "Bus \"value13\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3224 784 857 3240 "value13\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value14\[5..0\] value1 " "Bus \"value14\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3448 784 855 3464 "value14\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value15\[5..0\] value1 " "Bus \"value15\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3672 784 856 3688 "value15\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value20\[5..0\] value2 " "Bus \"value20\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4792 784 855 4808 "value20\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value21\[5..0\] value2 " "Bus \"value21\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5016 784 853 5032 "value21\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value22\[5..0\] value2 " "Bus \"value22\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5240 784 857 5256 "value22\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value23\[5..0\] value2 " "Bus \"value23\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5464 784 855 5480 "value23\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value24\[5..0\] value2 " "Bus \"value24\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5688 784 854 5704 "value24\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value25\[5..0\] value2 " "Bus \"value25\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5912 784 856 5928 "value25\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value30\[5..0\] value3 " "Bus \"value30\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7032 784 859 7048 "value30\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value31\[5..0\] value3 " "Bus \"value31\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7256 784 854 7272 "value31\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value32\[5..0\] value3 " "Bus \"value32\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7480 784 857 7496 "value32\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value33\[5..0\] value3 " "Bus \"value33\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7704 784 853 7720 "value33\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value34\[5..0\] value3 " "Bus \"value34\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7928 784 854 7944 "value34\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value35\[5..0\] value3 " "Bus \"value35\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8152 784 857 8168 "value35\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value40\[5..0\] value4 " "Bus \"value40\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9272 784 854 9288 "value40\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value41\[5..0\] value4 " "Bus \"value41\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9496 784 852 9512 "value41\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value42\[5..0\] value4 " "Bus \"value42\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9720 784 856 9736 "value42\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value43\[5..0\] value4 " "Bus \"value43\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9944 784 857 9960 "value43\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value44\[5..0\] value4 " "Bus \"value44\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10168 784 857 10184 "value44\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value45\[5..0\] value4 " "Bus \"value45\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10392 784 857 10408 "value45\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value50\[5..0\] value5 " "Bus \"value50\[5..0\]\" found using same base name as \"value5\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11512 784 857 11528 "value50\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value51\[5..0\] value5 " "Bus \"value51\[5..0\]\" found using same base name as \"value5\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11736 784 859 11752 "value51\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value51\[5..0\] value5 " "Bus \"value51\[5..0\]\" found using same base name as \"value5\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 72 992 1061 88 "value51\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value50\[5..0\] value5 " "Bus \"value50\[5..0\]\" found using same base name as \"value5\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 88 992 1065 104 "value50\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value45\[5..0\] value4 " "Bus \"value45\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 168 992 1059 184 "value45\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value44\[5..0\] value4 " "Bus \"value44\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 184 992 1058 200 "value44\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value43\[5..0\] value4 " "Bus \"value43\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 200 992 1056 216 "value43\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value42\[5..0\] value4 " "Bus \"value42\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 216 992 1059 232 "value42\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value41\[5..0\] value4 " "Bus \"value41\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 232 992 1058 248 "value41\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value40\[5..0\] value4 " "Bus \"value40\[5..0\]\" found using same base name as \"value4\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 248 992 1059 264 "value40\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value35\[5..0\] value3 " "Bus \"value35\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 328 992 1058 344 "value35\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value34\[5..0\] value3 " "Bus \"value34\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 344 992 1062 360 "value34\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value33\[5..0\] value3 " "Bus \"value33\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 360 992 1064 376 "value33\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value32\[5..0\] value3 " "Bus \"value32\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 376 992 1065 392 "value32\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value31\[5..0\] value3 " "Bus \"value31\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 392 992 1064 408 "value31\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value30\[5..0\] value3 " "Bus \"value30\[5..0\]\" found using same base name as \"value3\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 408 992 1061 424 "value30\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value25\[5..0\] value2 " "Bus \"value25\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 488 992 1061 504 "value25\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value24\[5..0\] value2 " "Bus \"value24\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 504 992 1061 520 "value24\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value23\[5..0\] value2 " "Bus \"value23\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 520 992 1059 536 "value23\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value22\[5..0\] value2 " "Bus \"value22\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 536 992 1063 552 "value22\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value21\[5..0\] value2 " "Bus \"value21\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 552 992 1065 568 "value21\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value20\[5..0\] value2 " "Bus \"value20\[5..0\]\" found using same base name as \"value2\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 568 992 1067 584 "value20\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value15\[5..0\] value1 " "Bus \"value15\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 648 992 1063 664 "value15\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value14\[5..0\] value1 " "Bus \"value14\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 664 992 1062 680 "value14\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value13\[5..0\] value1 " "Bus \"value13\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 680 992 1061 696 "value13\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value12\[5..0\] value1 " "Bus \"value12\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 696 992 1061 712 "value12\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value11\[5..0\] value1 " "Bus \"value11\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 712 992 1059 728 "value11\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value10\[5..0\] value1 " "Bus \"value10\[5..0\]\" found using same base name as \"value1\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 728 992 1060 744 "value10\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value5\[5..0\] value " "Bus \"value5\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 808 992 1064 824 "value5\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value4\[5..0\] value " "Bus \"value4\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 824 992 1058 840 "value4\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value3\[5..0\] value " "Bus \"value3\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 840 992 1061 856 "value3\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value2\[5..0\] value " "Bus \"value2\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 856 992 1056 872 "value2\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value1\[5..0\] value " "Bus \"value1\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 872 992 1057 888 "value1\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_INCONSISTENT_BASE_NAME" "value0\[5..0\] value " "Bus \"value0\[5..0\]\" found using same base name as \"value\", which might lead to a name conflict." { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 888 992 1059 904 "value0\[5..0\]" "" } } } } } 0 275083 "Bus \"%1!s!\" found using same base name as \"%2!s!\", which might lead to a name conflict." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value " "Converted elements in bus name \"value\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value\[5..0\] value5..0 " "Converted element name(s) from \"value\[5..0\]\" to \"value5..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 64 592 768 80 "value\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 64 592 768 80 "value\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value0 " "Converted elements in bus name \"value0\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value0\[5..0\] value05..0 " "Converted element name(s) from \"value0\[5..0\]\" to \"value05..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 312 784 851 328 "value0\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value0\[5..0\] value05..0 " "Converted element name(s) from \"value0\[5..0\]\" to \"value05..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 888 992 1059 904 "value0\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 312 784 851 328 "value0\[5..0\]" "" } { 888 992 1059 904 "value0\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value1 " "Converted elements in bus name \"value1\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value1\[5..0\] value15..0 " "Converted element name(s) from \"value1\[5..0\]\" to \"value15..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 536 784 853 552 "value1\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value1\[5..0\] value15..0 " "Converted element name(s) from \"value1\[5..0\]\" to \"value15..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 872 992 1057 888 "value1\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 536 784 853 552 "value1\[5..0\]" "" } { 872 992 1057 888 "value1\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value10 " "Converted elements in bus name \"value10\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value10\[5..0\] value105..0 " "Converted element name(s) from \"value10\[5..0\]\" to \"value105..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2552 784 862 2568 "value10\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value10\[5..0\] value105..0 " "Converted element name(s) from \"value10\[5..0\]\" to \"value105..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 728 992 1060 744 "value10\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2552 784 862 2568 "value10\[5..0\]" "" } { 728 992 1060 744 "value10\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value11 " "Converted elements in bus name \"value11\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value11\[5..0\] value115..0 " "Converted element name(s) from \"value11\[5..0\]\" to \"value115..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2776 784 861 2792 "value11\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value11\[5..0\] value115..0 " "Converted element name(s) from \"value11\[5..0\]\" to \"value115..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 712 992 1059 728 "value11\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2776 784 861 2792 "value11\[5..0\]" "" } { 712 992 1059 728 "value11\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value12 " "Converted elements in bus name \"value12\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value12\[5..0\] value125..0 " "Converted element name(s) from \"value12\[5..0\]\" to \"value125..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3000 784 860 3016 "value12\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value12\[5..0\] value125..0 " "Converted element name(s) from \"value12\[5..0\]\" to \"value125..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 696 992 1061 712 "value12\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3000 784 860 3016 "value12\[5..0\]" "" } { 696 992 1061 712 "value12\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value13 " "Converted elements in bus name \"value13\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value13\[5..0\] value135..0 " "Converted element name(s) from \"value13\[5..0\]\" to \"value135..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3224 784 857 3240 "value13\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value13\[5..0\] value135..0 " "Converted element name(s) from \"value13\[5..0\]\" to \"value135..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 680 992 1061 696 "value13\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3224 784 857 3240 "value13\[5..0\]" "" } { 680 992 1061 696 "value13\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value14 " "Converted elements in bus name \"value14\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value14\[5..0\] value145..0 " "Converted element name(s) from \"value14\[5..0\]\" to \"value145..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3448 784 855 3464 "value14\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value14\[5..0\] value145..0 " "Converted element name(s) from \"value14\[5..0\]\" to \"value145..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 664 992 1062 680 "value14\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3448 784 855 3464 "value14\[5..0\]" "" } { 664 992 1062 680 "value14\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value15 " "Converted elements in bus name \"value15\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value15\[5..0\] value155..0 " "Converted element name(s) from \"value15\[5..0\]\" to \"value155..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3672 784 856 3688 "value15\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value15\[5..0\] value155..0 " "Converted element name(s) from \"value15\[5..0\]\" to \"value155..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 648 992 1063 664 "value15\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3672 784 856 3688 "value15\[5..0\]" "" } { 648 992 1063 664 "value15\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value2 " "Converted elements in bus name \"value2\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value2\[5..0\] value25..0 " "Converted element name(s) from \"value2\[5..0\]\" to \"value25..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 760 784 855 776 "value2\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value2\[5..0\] value25..0 " "Converted element name(s) from \"value2\[5..0\]\" to \"value25..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 856 992 1056 872 "value2\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 760 784 855 776 "value2\[5..0\]" "" } { 856 992 1056 872 "value2\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value20 " "Converted elements in bus name \"value20\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value20\[5..0\] value205..0 " "Converted element name(s) from \"value20\[5..0\]\" to \"value205..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4792 784 855 4808 "value20\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value20\[5..0\] value205..0 " "Converted element name(s) from \"value20\[5..0\]\" to \"value205..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 568 992 1067 584 "value20\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4792 784 855 4808 "value20\[5..0\]" "" } { 568 992 1067 584 "value20\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value21 " "Converted elements in bus name \"value21\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value21\[5..0\] value215..0 " "Converted element name(s) from \"value21\[5..0\]\" to \"value215..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5016 784 853 5032 "value21\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value21\[5..0\] value215..0 " "Converted element name(s) from \"value21\[5..0\]\" to \"value215..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 552 992 1065 568 "value21\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5016 784 853 5032 "value21\[5..0\]" "" } { 552 992 1065 568 "value21\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value22 " "Converted elements in bus name \"value22\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value22\[5..0\] value225..0 " "Converted element name(s) from \"value22\[5..0\]\" to \"value225..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5240 784 857 5256 "value22\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value22\[5..0\] value225..0 " "Converted element name(s) from \"value22\[5..0\]\" to \"value225..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 536 992 1063 552 "value22\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5240 784 857 5256 "value22\[5..0\]" "" } { 536 992 1063 552 "value22\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value23 " "Converted elements in bus name \"value23\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value23\[5..0\] value235..0 " "Converted element name(s) from \"value23\[5..0\]\" to \"value235..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5464 784 855 5480 "value23\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value23\[5..0\] value235..0 " "Converted element name(s) from \"value23\[5..0\]\" to \"value235..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 520 992 1059 536 "value23\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5464 784 855 5480 "value23\[5..0\]" "" } { 520 992 1059 536 "value23\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value24 " "Converted elements in bus name \"value24\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value24\[5..0\] value245..0 " "Converted element name(s) from \"value24\[5..0\]\" to \"value245..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5688 784 854 5704 "value24\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value24\[5..0\] value245..0 " "Converted element name(s) from \"value24\[5..0\]\" to \"value245..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 504 992 1061 520 "value24\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5688 784 854 5704 "value24\[5..0\]" "" } { 504 992 1061 520 "value24\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value25 " "Converted elements in bus name \"value25\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value25\[5..0\] value255..0 " "Converted element name(s) from \"value25\[5..0\]\" to \"value255..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5912 784 856 5928 "value25\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value25\[5..0\] value255..0 " "Converted element name(s) from \"value25\[5..0\]\" to \"value255..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 488 992 1061 504 "value25\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5912 784 856 5928 "value25\[5..0\]" "" } { 488 992 1061 504 "value25\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value3 " "Converted elements in bus name \"value3\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value3\[5..0\] value35..0 " "Converted element name(s) from \"value3\[5..0\]\" to \"value35..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 984 784 856 1000 "value3\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value3\[5..0\] value35..0 " "Converted element name(s) from \"value3\[5..0\]\" to \"value35..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 840 992 1061 856 "value3\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 984 784 856 1000 "value3\[5..0\]" "" } { 840 992 1061 856 "value3\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value30 " "Converted elements in bus name \"value30\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value30\[5..0\] value305..0 " "Converted element name(s) from \"value30\[5..0\]\" to \"value305..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7032 784 859 7048 "value30\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value30\[5..0\] value305..0 " "Converted element name(s) from \"value30\[5..0\]\" to \"value305..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 408 992 1061 424 "value30\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7032 784 859 7048 "value30\[5..0\]" "" } { 408 992 1061 424 "value30\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value31 " "Converted elements in bus name \"value31\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value31\[5..0\] value315..0 " "Converted element name(s) from \"value31\[5..0\]\" to \"value315..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7256 784 854 7272 "value31\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value31\[5..0\] value315..0 " "Converted element name(s) from \"value31\[5..0\]\" to \"value315..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 392 992 1064 408 "value31\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7256 784 854 7272 "value31\[5..0\]" "" } { 392 992 1064 408 "value31\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value32 " "Converted elements in bus name \"value32\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value32\[5..0\] value325..0 " "Converted element name(s) from \"value32\[5..0\]\" to \"value325..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7480 784 857 7496 "value32\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value32\[5..0\] value325..0 " "Converted element name(s) from \"value32\[5..0\]\" to \"value325..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 376 992 1065 392 "value32\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7480 784 857 7496 "value32\[5..0\]" "" } { 376 992 1065 392 "value32\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value33 " "Converted elements in bus name \"value33\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value33\[5..0\] value335..0 " "Converted element name(s) from \"value33\[5..0\]\" to \"value335..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7704 784 853 7720 "value33\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value33\[5..0\] value335..0 " "Converted element name(s) from \"value33\[5..0\]\" to \"value335..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 360 992 1064 376 "value33\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7704 784 853 7720 "value33\[5..0\]" "" } { 360 992 1064 376 "value33\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value34 " "Converted elements in bus name \"value34\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value34\[5..0\] value345..0 " "Converted element name(s) from \"value34\[5..0\]\" to \"value345..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7928 784 854 7944 "value34\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value34\[5..0\] value345..0 " "Converted element name(s) from \"value34\[5..0\]\" to \"value345..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 344 992 1062 360 "value34\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7928 784 854 7944 "value34\[5..0\]" "" } { 344 992 1062 360 "value34\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value35 " "Converted elements in bus name \"value35\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value35\[5..0\] value355..0 " "Converted element name(s) from \"value35\[5..0\]\" to \"value355..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8152 784 857 8168 "value35\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value35\[5..0\] value355..0 " "Converted element name(s) from \"value35\[5..0\]\" to \"value355..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 328 992 1058 344 "value35\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8152 784 857 8168 "value35\[5..0\]" "" } { 328 992 1058 344 "value35\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value4 " "Converted elements in bus name \"value4\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value4\[5..0\] value45..0 " "Converted element name(s) from \"value4\[5..0\]\" to \"value45..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1208 784 857 1224 "value4\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value4\[5..0\] value45..0 " "Converted element name(s) from \"value4\[5..0\]\" to \"value45..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 824 992 1058 840 "value4\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1208 784 857 1224 "value4\[5..0\]" "" } { 824 992 1058 840 "value4\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value40 " "Converted elements in bus name \"value40\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value40\[5..0\] value405..0 " "Converted element name(s) from \"value40\[5..0\]\" to \"value405..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9272 784 854 9288 "value40\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value40\[5..0\] value405..0 " "Converted element name(s) from \"value40\[5..0\]\" to \"value405..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 248 992 1059 264 "value40\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9272 784 854 9288 "value40\[5..0\]" "" } { 248 992 1059 264 "value40\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value41 " "Converted elements in bus name \"value41\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value41\[5..0\] value415..0 " "Converted element name(s) from \"value41\[5..0\]\" to \"value415..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9496 784 852 9512 "value41\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value41\[5..0\] value415..0 " "Converted element name(s) from \"value41\[5..0\]\" to \"value415..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 232 992 1058 248 "value41\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9496 784 852 9512 "value41\[5..0\]" "" } { 232 992 1058 248 "value41\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value42 " "Converted elements in bus name \"value42\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value42\[5..0\] value425..0 " "Converted element name(s) from \"value42\[5..0\]\" to \"value425..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9720 784 856 9736 "value42\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value42\[5..0\] value425..0 " "Converted element name(s) from \"value42\[5..0\]\" to \"value425..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 216 992 1059 232 "value42\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9720 784 856 9736 "value42\[5..0\]" "" } { 216 992 1059 232 "value42\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value43 " "Converted elements in bus name \"value43\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value43\[5..0\] value435..0 " "Converted element name(s) from \"value43\[5..0\]\" to \"value435..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9944 784 857 9960 "value43\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value43\[5..0\] value435..0 " "Converted element name(s) from \"value43\[5..0\]\" to \"value435..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 200 992 1056 216 "value43\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9944 784 857 9960 "value43\[5..0\]" "" } { 200 992 1056 216 "value43\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value44 " "Converted elements in bus name \"value44\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value44\[5..0\] value445..0 " "Converted element name(s) from \"value44\[5..0\]\" to \"value445..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10168 784 857 10184 "value44\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value44\[5..0\] value445..0 " "Converted element name(s) from \"value44\[5..0\]\" to \"value445..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 184 992 1058 200 "value44\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10168 784 857 10184 "value44\[5..0\]" "" } { 184 992 1058 200 "value44\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value45 " "Converted elements in bus name \"value45\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value45\[5..0\] value455..0 " "Converted element name(s) from \"value45\[5..0\]\" to \"value455..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10392 784 857 10408 "value45\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value45\[5..0\] value455..0 " "Converted element name(s) from \"value45\[5..0\]\" to \"value455..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 168 992 1059 184 "value45\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10392 784 857 10408 "value45\[5..0\]" "" } { 168 992 1059 184 "value45\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value5 " "Converted elements in bus name \"value5\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value5\[5..0\] value55..0 " "Converted element name(s) from \"value5\[5..0\]\" to \"value55..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1432 784 855 1448 "value5\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value5\[5..0\] value55..0 " "Converted element name(s) from \"value5\[5..0\]\" to \"value55..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 808 992 1064 824 "value5\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1432 784 855 1448 "value5\[5..0\]" "" } { 808 992 1064 824 "value5\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value50 " "Converted elements in bus name \"value50\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value50\[5..0\] value505..0 " "Converted element name(s) from \"value50\[5..0\]\" to \"value505..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11512 784 857 11528 "value50\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value50\[5..0\] value505..0 " "Converted element name(s) from \"value50\[5..0\]\" to \"value505..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 88 992 1065 104 "value50\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11512 784 857 11528 "value50\[5..0\]" "" } { 88 992 1065 104 "value50\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Warning" "WGDFX_PROCESSING_BUS_NAME_WITH_MAXPLUS_II_NAMING" "value51 " "Converted elements in bus name \"value51\" using legacy naming rules. Make any assignments on the new names, not on the original names." { { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value51\[5..0\] value515..0 " "Converted element name(s) from \"value51\[5..0\]\" to \"value515..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11736 784 859 11752 "value51\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} { "Warning" "WGDFX_CONVERTING_BUS_NAME" "value51\[5..0\] value515..0 " "Converted element name(s) from \"value51\[5..0\]\" to \"value515..0\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 72 992 1061 88 "value51\[5..0\]" "" } } } } } 0 275081 "Converted element name(s) from \"%1!s!\" to \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001883 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11736 784 859 11752 "value51\[5..0\]" "" } { 72 992 1061 88 "value51\[5..0\]" "" } } } } } 0 275080 "Converted elements in bus name \"%1!s!\" using legacy naming rules. Make any assignments on the new names, not on the original names." 0 0 "Quartus II" 0 -1 1509599001883 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_COMPARE gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120 " "Elaborating entity \"LPM_COMPARE\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120\"" { } { { "gA6_stack52.bdf" "inst120" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1016 -64 64 1144 "inst120" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001914 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1016 -64 64 1144 "inst120" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599001914 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_PIPELINE 0 " "Parameter \"LPM_PIPELINE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001914 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001914 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001914 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1016 -64 64 1144 "inst120" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599001914 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_86h.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_86h.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_86h " "Found entity 1: cmpr_86h" { } { { "db/cmpr_86h.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_86h.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599001992 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509599001992 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cmpr_86h gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120\|cmpr_86h:auto_generated " "Elaborating entity \"cmpr_86h\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst120\|cmpr_86h:auto_generated\"" { } { { "lpm_compare.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_compare.tdf" 280 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599001992 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_COUNTER gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115 " "Elaborating entity \"LPM_COUNTER\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115\"" { } { { "gA6_stack52.bdf" "inst115" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 656 -72 64 856 "inst115" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002039 ""} +{ "Warning" "WTDFX_ASSERTION" "The data\[\] port is connected but aload and sload are not connected or are ground. This means the data will be ignored " "Assertion warning: The data\[\] port is connected but aload and sload are not connected or are ground. This means the data will be ignored" { } { { "lpm_counter.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_counter.tdf" 475 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 416 -72 64 616 "inst115" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599002039 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 656 -72 64 856 "inst115" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599002039 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002039 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 52 " "Parameter \"LPM_SVALUE\" = \"52\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002039 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002039 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 656 -72 64 856 "inst115" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599002039 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_p2i.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_p2i.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_p2i " "Found entity 1: cntr_p2i" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599002117 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509599002117 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cntr_p2i gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115\|cntr_p2i:auto_generated " "Elaborating entity \"cntr_p2i\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COUNTER:inst115\|cntr_p2i:auto_generated\"" { } { { "lpm_counter.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_counter.tdf" 258 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002117 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_COMPARE gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119 " "Elaborating entity \"LPM_COMPARE\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119\"" { } { { "gA6_stack52.bdf" "inst119" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 880 -64 64 1008 "inst119" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002117 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 880 -64 64 1008 "inst119" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599002133 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_PIPELINE 0 " "Parameter \"LPM_PIPELINE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002133 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002133 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002133 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 880 -64 64 1008 "inst119" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599002133 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_4nh.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_4nh.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_4nh " "Found entity 1: cmpr_4nh" { } { { "db/cmpr_4nh.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_4nh.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599002211 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509599002211 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cmpr_4nh gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119\|cmpr_4nh:auto_generated " "Elaborating entity \"cmpr_4nh\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_COMPARE:inst119\|cmpr_4nh:auto_generated\"" { } { { "lpm_compare.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_compare.tdf" 280 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002211 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_mux0 gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125 " "Elaborating entity \"lpm_mux0\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\"" { } { { "gA6_stack52.bdf" "inst125" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 48 1056 1200 928 "inst125" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002211 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_MUX gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component " "Elaborating entity \"LPM_MUX\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component\"" { } { { "lpm_mux0.vhd" "LPM_MUX_component" { Text "C:/home/abbas/dsd_A6/lab3/lpm_mux0.vhd" 529 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002258 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component\"" { } { { "lpm_mux0.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/lpm_mux0.vhd" 529 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599002258 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002258 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SIZE 52 " "Parameter \"LPM_SIZE\" = \"52\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002258 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 6 " "Parameter \"LPM_WIDTHS\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002258 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_PIPELINE 0 " "Parameter \"LPM_PIPELINE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002258 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_MUX " "Parameter \"LPM_TYPE\" = \"LPM_MUX\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002258 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_HINT UNUSED " "Parameter \"LPM_HINT\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002258 ""} } { { "lpm_mux0.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/lpm_mux0.vhd" 529 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599002258 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mux_v4e.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mux_v4e.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mux_v4e " "Found entity 1: mux_v4e" { } { { "db/mux_v4e.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/mux_v4e.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599002367 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509599002367 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mux_v4e gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component\|mux_v4e:auto_generated " "Elaborating entity \"mux_v4e\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|lpm_mux0:inst125\|LPM_MUX:LPM_MUX_component\|mux_v4e:auto_generated\"" { } { { "lpm_mux.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_mux.tdf" 86 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002367 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\"" { } { { "gA6_stack52.bdf" "inst" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 240 584 760 384 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002398 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 240 584 760 384 "inst" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599002398 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 240 584 760 384 "inst" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599002398 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 240 584 760 384 "inst" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599002398 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002398 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002398 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 0 " "Parameter \"LPM_SVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002398 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002398 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 240 584 760 384 "inst" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599002398 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002414 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 240 584 760 384 "inst" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002414 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_ff_enable52 gA6_testbed:inst\|gA6_stack52:inst4\|gA6_ff_enable52:inst124 " "Elaborating entity \"gA6_ff_enable52\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_ff_enable52:inst124\"" { } { { "gA6_stack52.bdf" "inst124" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1288 -128 64 1416 "inst124" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002414 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_pop_enable gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104 " "Elaborating entity \"gA6_pop_enable\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\"" { } { { "gA6_stack52.bdf" "inst104" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1176 -112 64 1256 "inst104" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002476 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_ROM gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table " "Elaborating entity \"LPM_ROM\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\"" { } { { "gA6_pop_enable.vhd" "lookup_table" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 25 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002508 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\"" { } { { "gA6_pop_enable.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 25 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599002539 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 52 " "Parameter \"LPM_WIDTH\" = \"52\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002539 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHAD 6 " "Parameter \"LPM_WIDTHAD\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002539 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_NUMWORDS 64 " "Parameter \"LPM_NUMWORDS\" = \"64\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002539 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_ADDRESS_CONTROL REGISTERED " "Parameter \"LPM_ADDRESS_CONTROL\" = \"REGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002539 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_OUTDATA UNREGISTERED " "Parameter \"LPM_OUTDATA\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002539 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FILE gA6_popup_rom_data.mif " "Parameter \"LPM_FILE\" = \"gA6_popup_rom_data.mif\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002539 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_ROM " "Parameter \"LPM_TYPE\" = \"LPM_ROM\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002539 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INTENDED_DEVICE_FAMILY UNUSED " "Parameter \"INTENDED_DEVICE_FAMILY\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002539 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_HINT UNUSED " "Parameter \"LPM_HINT\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002539 ""} } { { "gA6_pop_enable.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 25 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599002539 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altrom gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom " "Elaborating entity \"altrom\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\"" { } { { "lpm_rom.tdf" "srom" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 54 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002816 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\"" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 54 3 0 } } { "gA6_pop_enable.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 25 0 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002816 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\|altsyncram:rom_block " "Elaborating entity \"altsyncram\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\|altsyncram:rom_block\"" { } { { "altrom.tdf" "rom_block" { Text "c:/altera/13.0/quartus/libraries/megafunctions/altrom.tdf" 88 6 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002862 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\|altsyncram:rom_block gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\|altsyncram:rom_block\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\"" { } { { "altrom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/altrom.tdf" 88 6 0 } } { "gA6_pop_enable.vhd" "" { Text "C:/home/abbas/dsd_A6/lab3/gA6_pop_enable.vhd" 25 0 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599002941 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_f911.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_f911.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_f911 " "Found entity 1: altsyncram_f911" { } { { "db/altsyncram_f911.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/altsyncram_f911.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599003019 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509599003019 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_f911 gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\|altsyncram:rom_block\|altsyncram_f911:auto_generated " "Elaborating entity \"altsyncram_f911\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|LPM_ROM:lookup_table\|altrom:srom\|altsyncram:rom_block\|altsyncram_f911:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/altsyncram.tdf" 791 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003034 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "BUSMUX gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1 " "Elaborating entity \"BUSMUX\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\"" { } { { "gA6_stack52.bdf" "inst1" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 264 432 544 352 "inst1" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003050 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 264 432 544 352 "inst1" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599003066 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH 6 " "Parameter \"WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003066 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 264 432 544 352 "inst1" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599003066 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_MUX gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\|LPM_MUX:\$00000 " "Elaborating entity \"LPM_MUX\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\|LPM_MUX:\$00000\"" { } { { "busmux.tdf" "\$00000" { Text "c:/altera/13.0/quartus/libraries/megafunctions/busmux.tdf" 43 13 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003066 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\|LPM_MUX:\$00000 gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\|LPM_MUX:\$00000\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\"" { } { { "busmux.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/busmux.tdf" 43 13 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 264 432 544 352 "inst1" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003081 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mux_qmc.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mux_qmc.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mux_qmc " "Found entity 1: mux_qmc" { } { { "db/mux_qmc.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/mux_qmc.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599003144 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509599003144 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mux_qmc gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\|LPM_MUX:\$00000\|mux_qmc:auto_generated " "Elaborating entity \"mux_qmc\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst1\|LPM_MUX:\$00000\|mux_qmc:auto_generated\"" { } { { "lpm_mux.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_mux.tdf" 86 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003144 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\"" { } { { "gA6_stack52.bdf" "inst2" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 464 584 760 608 "inst2" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003159 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 464 584 760 608 "inst2" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599003191 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 464 584 760 608 "inst2" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599003191 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst2"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 464 584 760 608 "inst2" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599003191 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003191 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003191 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 1 " "Parameter \"LPM_SVALUE\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003191 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003191 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 464 584 760 608 "inst2" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599003191 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003206 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst2\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 464 584 760 608 "inst2" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003206 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\"" { } { { "gA6_stack52.bdf" "inst5" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 688 584 760 832 "inst5" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003222 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 688 584 760 832 "inst5" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599003237 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 688 584 760 832 "inst5" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599003237 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst5"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 688 584 760 832 "inst5" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599003237 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003237 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003237 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 2 " "Parameter \"LPM_SVALUE\" = \"2\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003237 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003237 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 688 584 760 832 "inst5" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599003237 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003237 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst5\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 688 584 760 832 "inst5" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003253 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\"" { } { { "gA6_stack52.bdf" "inst7" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 912 584 760 1056 "inst7" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003253 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 912 584 760 1056 "inst7" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599003269 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 912 584 760 1056 "inst7" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599003269 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst7"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 912 584 760 1056 "inst7" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599003269 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003269 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003269 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 3 " "Parameter \"LPM_SVALUE\" = \"3\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003269 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003269 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 912 584 760 1056 "inst7" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599003269 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003269 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst7\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 912 584 760 1056 "inst7" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003284 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\"" { } { { "gA6_stack52.bdf" "inst9" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1136 584 760 1280 "inst9" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003300 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1136 584 760 1280 "inst9" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599003316 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1136 584 760 1280 "inst9" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599003316 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst9"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1136 584 760 1280 "inst9" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599003316 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003316 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003316 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 4 " "Parameter \"LPM_SVALUE\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003316 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003316 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1136 584 760 1280 "inst9" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599003316 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003316 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst9\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1136 584 760 1280 "inst9" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003316 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\"" { } { { "gA6_stack52.bdf" "inst11" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1360 584 760 1504 "inst11" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003331 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1360 584 760 1504 "inst11" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599003331 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1360 584 760 1504 "inst11" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599003331 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst11"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1360 584 760 1504 "inst11" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599003331 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003331 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003331 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 5 " "Parameter \"LPM_SVALUE\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003331 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003331 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1360 584 760 1504 "inst11" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599003331 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003347 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst11\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1360 584 760 1504 "inst11" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003362 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\"" { } { { "gA6_stack52.bdf" "inst13" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1584 584 760 1728 "inst13" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003362 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1584 584 760 1728 "inst13" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599003378 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1584 584 760 1728 "inst13" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599003378 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst13"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1584 584 760 1728 "inst13" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599003378 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003378 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003378 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 6 " "Parameter \"LPM_SVALUE\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003378 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003378 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1584 584 760 1728 "inst13" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599003378 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003378 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst13\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1584 584 760 1728 "inst13" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003394 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\"" { } { { "gA6_stack52.bdf" "inst14" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1808 584 760 1952 "inst14" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003394 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1808 584 760 1952 "inst14" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599003409 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1808 584 760 1952 "inst14" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599003409 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst14"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1808 584 760 1952 "inst14" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599003409 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003409 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003409 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 7 " "Parameter \"LPM_SVALUE\" = \"7\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003409 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003409 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1808 584 760 1952 "inst14" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599003409 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003409 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst14\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 1808 584 760 1952 "inst14" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003425 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\"" { } { { "gA6_stack52.bdf" "inst17" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2032 584 760 2176 "inst17" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003425 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2032 584 760 2176 "inst17" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599003441 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2032 584 760 2176 "inst17" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599003441 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst17"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2032 584 760 2176 "inst17" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599003441 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003441 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003441 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 8 " "Parameter \"LPM_SVALUE\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003441 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003441 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2032 584 760 2176 "inst17" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599003441 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003441 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst17\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2032 584 760 2176 "inst17" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003456 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\"" { } { { "gA6_stack52.bdf" "inst19" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2256 584 760 2400 "inst19" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003472 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2256 584 760 2400 "inst19" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599003472 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2256 584 760 2400 "inst19" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599003472 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst19"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2256 584 760 2400 "inst19" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599003472 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003472 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003472 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 9 " "Parameter \"LPM_SVALUE\" = \"9\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003472 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003472 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2256 584 760 2400 "inst19" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599003472 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003487 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst19\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2256 584 760 2400 "inst19" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003487 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\"" { } { { "gA6_stack52.bdf" "inst21" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2480 584 760 2624 "inst21" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003503 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2480 584 760 2624 "inst21" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599003503 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2480 584 760 2624 "inst21" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599003503 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst21"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2480 584 760 2624 "inst21" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599003503 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003503 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003503 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 10 " "Parameter \"LPM_SVALUE\" = \"10\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003503 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003503 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2480 584 760 2624 "inst21" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599003503 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003519 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst21\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2480 584 760 2624 "inst21" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003519 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\"" { } { { "gA6_stack52.bdf" "inst23" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2704 584 760 2848 "inst23" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003534 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2704 584 760 2848 "inst23" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599003534 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2704 584 760 2848 "inst23" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599003534 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst23"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2704 584 760 2848 "inst23" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599003534 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003534 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003534 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 11 " "Parameter \"LPM_SVALUE\" = \"11\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003534 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003534 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2704 584 760 2848 "inst23" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599003534 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003534 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst23\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2704 584 760 2848 "inst23" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003550 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\"" { } { { "gA6_stack52.bdf" "inst25" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2928 584 760 3072 "inst25" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003566 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2928 584 760 3072 "inst25" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599003566 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2928 584 760 3072 "inst25" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599003566 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst25"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2928 584 760 3072 "inst25" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599003566 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003566 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003566 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 12 " "Parameter \"LPM_SVALUE\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003566 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003566 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2928 584 760 3072 "inst25" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599003566 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003581 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst25\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 2928 584 760 3072 "inst25" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003597 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\"" { } { { "gA6_stack52.bdf" "inst27" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3152 584 760 3296 "inst27" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003597 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3152 584 760 3296 "inst27" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599003613 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3152 584 760 3296 "inst27" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599003613 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst27"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3152 584 760 3296 "inst27" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599003613 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003613 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003613 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 13 " "Parameter \"LPM_SVALUE\" = \"13\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003613 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003613 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3152 584 760 3296 "inst27" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599003613 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003628 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst27\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3152 584 760 3296 "inst27" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003628 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\"" { } { { "gA6_stack52.bdf" "inst28" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3376 584 760 3520 "inst28" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003644 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3376 584 760 3520 "inst28" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599003644 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3376 584 760 3520 "inst28" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599003644 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst28"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3376 584 760 3520 "inst28" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599003644 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003644 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003644 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 14 " "Parameter \"LPM_SVALUE\" = \"14\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003644 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003644 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3376 584 760 3520 "inst28" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599003644 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003659 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst28\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3376 584 760 3520 "inst28" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003675 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\"" { } { { "gA6_stack52.bdf" "inst31" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3600 584 760 3744 "inst31" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003691 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3600 584 760 3744 "inst31" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599003691 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3600 584 760 3744 "inst31" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599003691 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst31"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3600 584 760 3744 "inst31" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599003691 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003691 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003691 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 15 " "Parameter \"LPM_SVALUE\" = \"15\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003691 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003691 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3600 584 760 3744 "inst31" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599003691 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003706 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst31\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3600 584 760 3744 "inst31" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003706 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\"" { } { { "gA6_stack52.bdf" "inst33" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3824 584 760 3968 "inst33" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003722 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3824 584 760 3968 "inst33" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599003737 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3824 584 760 3968 "inst33" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599003737 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst33"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3824 584 760 3968 "inst33" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599003737 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003737 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003737 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 16 " "Parameter \"LPM_SVALUE\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003737 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003737 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3824 584 760 3968 "inst33" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599003737 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003737 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst33\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 3824 584 760 3968 "inst33" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003753 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\"" { } { { "gA6_stack52.bdf" "inst35" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4048 584 760 4192 "inst35" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003753 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4048 584 760 4192 "inst35" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599003769 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4048 584 760 4192 "inst35" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599003769 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst35"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4048 584 760 4192 "inst35" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599003769 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003769 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003769 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 17 " "Parameter \"LPM_SVALUE\" = \"17\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003769 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003769 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4048 584 760 4192 "inst35" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599003769 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003769 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst35\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4048 584 760 4192 "inst35" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003784 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\"" { } { { "gA6_stack52.bdf" "inst37" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4272 584 760 4416 "inst37" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003800 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4272 584 760 4416 "inst37" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599003816 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4272 584 760 4416 "inst37" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599003816 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst37"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4272 584 760 4416 "inst37" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599003816 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003816 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003816 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 18 " "Parameter \"LPM_SVALUE\" = \"18\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003816 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003816 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4272 584 760 4416 "inst37" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599003816 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003816 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst37\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4272 584 760 4416 "inst37" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003816 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\"" { } { { "gA6_stack52.bdf" "inst39" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4496 584 760 4640 "inst39" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003831 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4496 584 760 4640 "inst39" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599003831 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4496 584 760 4640 "inst39" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599003831 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst39"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4496 584 760 4640 "inst39" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599003831 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003847 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003847 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 19 " "Parameter \"LPM_SVALUE\" = \"19\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003847 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003847 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4496 584 760 4640 "inst39" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599003847 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003847 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst39\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4496 584 760 4640 "inst39" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003847 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\"" { } { { "gA6_stack52.bdf" "inst41" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4720 584 760 4864 "inst41" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003862 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4720 584 760 4864 "inst41" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599003862 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4720 584 760 4864 "inst41" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599003862 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst41"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4720 584 760 4864 "inst41" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599003862 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003862 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003862 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 20 " "Parameter \"LPM_SVALUE\" = \"20\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003862 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003862 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4720 584 760 4864 "inst41" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599003862 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003878 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst41\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4720 584 760 4864 "inst41" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003878 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\"" { } { { "gA6_stack52.bdf" "inst42" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4944 584 760 5088 "inst42" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003894 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4944 584 760 5088 "inst42" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599003894 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4944 584 760 5088 "inst42" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599003894 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst42"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4944 584 760 5088 "inst42" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599003894 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003894 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003894 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 21 " "Parameter \"LPM_SVALUE\" = \"21\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003894 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003894 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4944 584 760 5088 "inst42" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599003894 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003909 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst42\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 4944 584 760 5088 "inst42" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003909 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\"" { } { { "gA6_stack52.bdf" "inst45" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5168 584 760 5312 "inst45" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003925 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5168 584 760 5312 "inst45" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599003925 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5168 584 760 5312 "inst45" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599003925 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst45"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5168 584 760 5312 "inst45" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599003925 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003925 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003925 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 22 " "Parameter \"LPM_SVALUE\" = \"22\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003925 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003925 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5168 584 760 5312 "inst45" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599003925 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003941 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst45\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5168 584 760 5312 "inst45" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003941 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\"" { } { { "gA6_stack52.bdf" "inst47" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5392 584 760 5536 "inst47" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003956 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5392 584 760 5536 "inst47" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599003956 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5392 584 760 5536 "inst47" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599003972 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst47"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5392 584 760 5536 "inst47" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599003972 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003972 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003972 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 23 " "Parameter \"LPM_SVALUE\" = \"23\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003972 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003972 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5392 584 760 5536 "inst47" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599003972 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003972 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst47\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5392 584 760 5536 "inst47" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003972 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\"" { } { { "gA6_stack52.bdf" "inst49" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5616 584 760 5760 "inst49" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003987 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5616 584 760 5760 "inst49" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599003987 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5616 584 760 5760 "inst49" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599003987 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst49"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5616 584 760 5760 "inst49" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599003987 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003987 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003987 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 24 " "Parameter \"LPM_SVALUE\" = \"24\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003987 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599003987 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5616 584 760 5760 "inst49" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599003987 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004003 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst49\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5616 584 760 5760 "inst49" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004003 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\"" { } { { "gA6_stack52.bdf" "inst51" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5840 584 760 5984 "inst51" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004019 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5840 584 760 5984 "inst51" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599004034 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5840 584 760 5984 "inst51" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599004034 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst51"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5840 584 760 5984 "inst51" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599004034 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004034 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004034 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 25 " "Parameter \"LPM_SVALUE\" = \"25\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004034 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004034 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5840 584 760 5984 "inst51" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599004034 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004034 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst51\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 5840 584 760 5984 "inst51" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004050 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\"" { } { { "gA6_stack52.bdf" "inst53" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6064 584 760 6208 "inst53" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004050 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6064 584 760 6208 "inst53" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599004066 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6064 584 760 6208 "inst53" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599004066 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst53"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6064 584 760 6208 "inst53" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599004066 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004066 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004066 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 26 " "Parameter \"LPM_SVALUE\" = \"26\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004066 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004066 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6064 584 760 6208 "inst53" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599004066 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004066 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst53\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6064 584 760 6208 "inst53" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004081 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\"" { } { { "gA6_stack52.bdf" "inst54" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6288 584 760 6432 "inst54" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004097 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6288 584 760 6432 "inst54" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599004112 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6288 584 760 6432 "inst54" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599004112 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst54"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6288 584 760 6432 "inst54" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599004112 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004112 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004112 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 27 " "Parameter \"LPM_SVALUE\" = \"27\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004112 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004112 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6288 584 760 6432 "inst54" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599004112 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004112 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst54\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6288 584 760 6432 "inst54" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004128 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\"" { } { { "gA6_stack52.bdf" "inst57" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6512 584 760 6656 "inst57" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004144 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6512 584 760 6656 "inst57" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599004144 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6512 584 760 6656 "inst57" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599004144 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst57"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6512 584 760 6656 "inst57" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599004144 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004144 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004144 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 28 " "Parameter \"LPM_SVALUE\" = \"28\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004144 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004144 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6512 584 760 6656 "inst57" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599004144 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004159 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst57\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6512 584 760 6656 "inst57" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004159 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\"" { } { { "gA6_stack52.bdf" "inst59" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6736 584 760 6880 "inst59" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004175 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6736 584 760 6880 "inst59" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599004175 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6736 584 760 6880 "inst59" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599004175 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst59"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6736 584 760 6880 "inst59" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599004175 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004175 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004175 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 29 " "Parameter \"LPM_SVALUE\" = \"29\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004175 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004175 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6736 584 760 6880 "inst59" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599004175 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004191 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst59\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6736 584 760 6880 "inst59" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004191 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\"" { } { { "gA6_stack52.bdf" "inst61" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6960 584 760 7104 "inst61" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004206 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6960 584 760 7104 "inst61" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599004206 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6960 584 760 7104 "inst61" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599004206 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst61"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6960 584 760 7104 "inst61" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599004206 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004206 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004206 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 30 " "Parameter \"LPM_SVALUE\" = \"30\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004206 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004206 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6960 584 760 7104 "inst61" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599004206 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004222 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst61\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 6960 584 760 7104 "inst61" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004237 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\"" { } { { "gA6_stack52.bdf" "inst63" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7184 584 760 7328 "inst63" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004237 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7184 584 760 7328 "inst63" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599004253 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7184 584 760 7328 "inst63" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599004253 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst63"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7184 584 760 7328 "inst63" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599004253 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004253 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004253 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 31 " "Parameter \"LPM_SVALUE\" = \"31\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004253 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004253 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7184 584 760 7328 "inst63" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599004253 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004253 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst63\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7184 584 760 7328 "inst63" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004253 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\"" { } { { "gA6_stack52.bdf" "inst65" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7408 584 760 7552 "inst65" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004269 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7408 584 760 7552 "inst65" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599004284 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7408 584 760 7552 "inst65" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599004284 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst65"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7408 584 760 7552 "inst65" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599004284 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004284 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004284 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 32 " "Parameter \"LPM_SVALUE\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004284 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004284 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7408 584 760 7552 "inst65" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599004284 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004300 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst65\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7408 584 760 7552 "inst65" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004300 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\"" { } { { "gA6_stack52.bdf" "inst67" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7632 584 760 7776 "inst67" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004316 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7632 584 760 7776 "inst67" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599004331 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7632 584 760 7776 "inst67" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599004331 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst67"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7632 584 760 7776 "inst67" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599004331 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004331 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004331 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 33 " "Parameter \"LPM_SVALUE\" = \"33\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004331 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004331 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7632 584 760 7776 "inst67" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599004331 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004331 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst67\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7632 584 760 7776 "inst67" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004331 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\"" { } { { "gA6_stack52.bdf" "inst68" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7856 584 760 8000 "inst68" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004347 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7856 584 760 8000 "inst68" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599004362 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7856 584 760 8000 "inst68" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599004362 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst68"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7856 584 760 8000 "inst68" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599004362 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004362 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004362 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 34 " "Parameter \"LPM_SVALUE\" = \"34\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004362 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004362 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7856 584 760 8000 "inst68" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599004362 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004378 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst68\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 7856 584 760 8000 "inst68" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004378 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\"" { } { { "gA6_stack52.bdf" "inst71" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8080 584 760 8224 "inst71" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004394 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8080 584 760 8224 "inst71" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599004409 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8080 584 760 8224 "inst71" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599004409 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst71"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8080 584 760 8224 "inst71" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599004409 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004409 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004409 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 35 " "Parameter \"LPM_SVALUE\" = \"35\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004409 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004409 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8080 584 760 8224 "inst71" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599004409 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004409 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst71\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8080 584 760 8224 "inst71" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004425 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\"" { } { { "gA6_stack52.bdf" "inst73" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8304 584 760 8448 "inst73" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004425 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8304 584 760 8448 "inst73" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599004441 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8304 584 760 8448 "inst73" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599004441 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst73"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8304 584 760 8448 "inst73" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599004441 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004441 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004441 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 36 " "Parameter \"LPM_SVALUE\" = \"36\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004441 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004441 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8304 584 760 8448 "inst73" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599004441 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004456 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst73\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8304 584 760 8448 "inst73" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004456 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\"" { } { { "gA6_stack52.bdf" "inst75" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8528 584 760 8672 "inst75" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004472 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8528 584 760 8672 "inst75" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599004487 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8528 584 760 8672 "inst75" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599004487 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst75"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8528 584 760 8672 "inst75" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599004487 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004487 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004487 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 37 " "Parameter \"LPM_SVALUE\" = \"37\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004487 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004487 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8528 584 760 8672 "inst75" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599004487 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004503 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst75\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8528 584 760 8672 "inst75" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004503 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\"" { } { { "gA6_stack52.bdf" "inst77" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8752 584 760 8896 "inst77" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004503 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8752 584 760 8896 "inst77" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599004519 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8752 584 760 8896 "inst77" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599004519 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst77"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8752 584 760 8896 "inst77" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599004519 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004519 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004519 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 38 " "Parameter \"LPM_SVALUE\" = \"38\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004519 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004519 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8752 584 760 8896 "inst77" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599004519 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004519 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst77\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8752 584 760 8896 "inst77" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004534 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\"" { } { { "gA6_stack52.bdf" "inst79" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8976 584 760 9120 "inst79" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004550 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8976 584 760 9120 "inst79" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599004550 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8976 584 760 9120 "inst79" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599004550 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst79"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8976 584 760 9120 "inst79" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599004550 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004550 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004550 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 39 " "Parameter \"LPM_SVALUE\" = \"39\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004550 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004550 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8976 584 760 9120 "inst79" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599004550 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004566 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst79\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 8976 584 760 9120 "inst79" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004581 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\"" { } { { "gA6_stack52.bdf" "inst81" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9200 584 760 9344 "inst81" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004581 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9200 584 760 9344 "inst81" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599004597 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9200 584 760 9344 "inst81" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599004597 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst81"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9200 584 760 9344 "inst81" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599004597 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004597 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004597 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 40 " "Parameter \"LPM_SVALUE\" = \"40\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004597 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004597 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9200 584 760 9344 "inst81" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599004597 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004612 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst81\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9200 584 760 9344 "inst81" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004612 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\"" { } { { "gA6_stack52.bdf" "inst82" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9424 584 760 9568 "inst82" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004628 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9424 584 760 9568 "inst82" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599004644 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9424 584 760 9568 "inst82" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599004644 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst82"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9424 584 760 9568 "inst82" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599004644 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004644 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004644 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 41 " "Parameter \"LPM_SVALUE\" = \"41\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004644 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004644 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9424 584 760 9568 "inst82" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599004644 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004659 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst82\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9424 584 760 9568 "inst82" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004659 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\"" { } { { "gA6_stack52.bdf" "inst85" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9648 584 760 9792 "inst85" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004675 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9648 584 760 9792 "inst85" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599004675 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9648 584 760 9792 "inst85" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599004675 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst85"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9648 584 760 9792 "inst85" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599004675 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004675 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004675 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 42 " "Parameter \"LPM_SVALUE\" = \"42\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004675 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004675 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9648 584 760 9792 "inst85" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599004675 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004691 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst85\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9648 584 760 9792 "inst85" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004691 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\"" { } { { "gA6_stack52.bdf" "inst87" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9872 584 760 10016 "inst87" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004706 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9872 584 760 10016 "inst87" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599004722 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9872 584 760 10016 "inst87" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599004722 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst87"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9872 584 760 10016 "inst87" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599004722 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004722 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004722 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 43 " "Parameter \"LPM_SVALUE\" = \"43\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004722 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004722 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9872 584 760 10016 "inst87" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599004722 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004722 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst87\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 9872 584 760 10016 "inst87" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004738 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\"" { } { { "gA6_stack52.bdf" "inst89" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10096 584 760 10240 "inst89" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004738 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10096 584 760 10240 "inst89" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599004753 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10096 584 760 10240 "inst89" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599004753 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst89"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10096 584 760 10240 "inst89" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599004753 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004753 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004753 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 44 " "Parameter \"LPM_SVALUE\" = \"44\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004753 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004753 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10096 584 760 10240 "inst89" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599004753 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004753 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst89\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10096 584 760 10240 "inst89" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004769 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\"" { } { { "gA6_stack52.bdf" "inst91" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10320 584 760 10464 "inst91" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004784 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10320 584 760 10464 "inst91" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599004784 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10320 584 760 10464 "inst91" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599004784 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst91"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10320 584 760 10464 "inst91" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599004784 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004784 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004784 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 45 " "Parameter \"LPM_SVALUE\" = \"45\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004784 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004784 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10320 584 760 10464 "inst91" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599004784 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004800 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst91\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10320 584 760 10464 "inst91" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004800 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\"" { } { { "gA6_stack52.bdf" "inst93" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10544 584 760 10688 "inst93" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004816 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10544 584 760 10688 "inst93" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599004831 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10544 584 760 10688 "inst93" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599004831 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst93"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10544 584 760 10688 "inst93" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599004831 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004831 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004831 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 46 " "Parameter \"LPM_SVALUE\" = \"46\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004831 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004831 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10544 584 760 10688 "inst93" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599004831 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004831 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst93\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10544 584 760 10688 "inst93" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004847 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\"" { } { { "gA6_stack52.bdf" "inst95" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10768 584 760 10912 "inst95" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004847 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10768 584 760 10912 "inst95" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599004863 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10768 584 760 10912 "inst95" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599004863 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst95"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10768 584 760 10912 "inst95" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599004863 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004863 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004863 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 47 " "Parameter \"LPM_SVALUE\" = \"47\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004863 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004863 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10768 584 760 10912 "inst95" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599004863 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004878 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst95\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10768 584 760 10912 "inst95" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004878 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\"" { } { { "gA6_stack52.bdf" "inst96" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10992 584 760 11136 "inst96" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004894 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10992 584 760 11136 "inst96" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599004894 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10992 584 760 11136 "inst96" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599004894 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst96"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10992 584 760 11136 "inst96" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599004894 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004894 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004894 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 48 " "Parameter \"LPM_SVALUE\" = \"48\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004894 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004894 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10992 584 760 11136 "inst96" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599004894 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004909 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst96\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 10992 584 760 11136 "inst96" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004956 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\"" { } { { "gA6_stack52.bdf" "inst99" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11216 584 760 11360 "inst99" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004972 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11216 584 760 11360 "inst99" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599004972 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11216 584 760 11360 "inst99" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599004972 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst99"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11216 584 760 11360 "inst99" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599004972 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004988 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004988 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 49 " "Parameter \"LPM_SVALUE\" = \"49\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004988 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004988 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11216 584 760 11360 "inst99" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599004988 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004988 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst99\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11216 584 760 11360 "inst99" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599004988 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\"" { } { { "gA6_stack52.bdf" "inst101" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11440 584 760 11584 "inst101" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005003 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11440 584 760 11584 "inst101" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599005019 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11440 584 760 11584 "inst101" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599005019 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst101"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11440 584 760 11584 "inst101" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599005019 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005019 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005019 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 50 " "Parameter \"LPM_SVALUE\" = \"50\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005019 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005019 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11440 584 760 11584 "inst101" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599005019 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005034 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst101\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11440 584 760 11584 "inst101" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005034 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_FF gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103 " "Elaborating entity \"LPM_FF\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\"" { } { { "gA6_stack52.bdf" "inst103" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11664 584 760 11808 "inst103" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005050 ""} +{ "Warning" "WTDFX_ASSERTION" "Ignored LPM_AVALUE parameter because the aset port is not used " "Assertion warning: Ignored LPM_AVALUE parameter because the aset port is not used" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 91 2 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11664 584 760 11808 "inst103" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287001 "Assertion warning: %1!s!" 0 0 "Quartus II" 0 -1 1509599005066 ""} +{ "Warning" "WTDFX_UNREFERENCED_NODE" "ac " "Variable or input pin \"ac\" is defined but never used." { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 71 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11664 584 760 11808 "inst103" "" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 200 208 384 360 "inst" "" } } } } } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1509599005066 "|gA6_lab3|gA6_stack52:inst|LPM_FF:inst103"} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11664 584 760 11808 "inst103" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599005066 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_AVALUE 0 " "Parameter \"LPM_AVALUE\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005066 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_FFTYPE DFF " "Parameter \"LPM_FFTYPE\" = \"DFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005066 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_SVALUE 51 " "Parameter \"LPM_SVALUE\" = \"51\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005066 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 6 " "Parameter \"LPM_WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005066 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11664 584 760 11808 "inst103" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599005066 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\|lpm_constant:sc " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\|lpm_constant:sc\"" { } { { "lpm_ff.tdf" "sc" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005066 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\|lpm_constant:sc gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\|lpm_constant:sc\", which is child of megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|LPM_FF:inst103\"" { } { { "lpm_ff.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_ff.tdf" 74 4 0 } } { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11664 584 760 11808 "inst103" "" } } } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005097 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "BUSMUX gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst102 " "Elaborating entity \"BUSMUX\" for hierarchy \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst102\"" { } { { "gA6_stack52.bdf" "inst102" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11688 432 544 11776 "inst102" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005097 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst102 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst102\"" { } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11688 432 544 11776 "inst102" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599005097 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst102 " "Instantiated megafunction \"gA6_testbed:inst\|gA6_stack52:inst4\|BUSMUX:inst102\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH 6 " "Parameter \"WIDTH\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005097 ""} } { { "gA6_stack52.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_stack52.bdf" { { 11688 432 544 11776 "inst102" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599005097 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_COMPARE gA6_testbed:inst\|LPM_COMPARE:inst1 " "Elaborating entity \"LPM_COMPARE\" for hierarchy \"gA6_testbed:inst\|LPM_COMPARE:inst1\"" { } { { "ga6_testbed.bdf" "inst1" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 256 264 392 384 "inst1" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005113 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|LPM_COMPARE:inst1 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|LPM_COMPARE:inst1\"" { } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 256 264 392 384 "inst1" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599005159 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|LPM_COMPARE:inst1 " "Instantiated megafunction \"gA6_testbed:inst\|LPM_COMPARE:inst1\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 21 " "Parameter \"LPM_WIDTH\" = \"21\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005159 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005159 ""} } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 256 264 392 384 "inst1" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599005159 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_6cg.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_6cg.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_6cg " "Found entity 1: cmpr_6cg" { } { { "db/cmpr_6cg.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_6cg.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599005238 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509599005238 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cmpr_6cg gA6_testbed:inst\|LPM_COMPARE:inst1\|cmpr_6cg:auto_generated " "Elaborating entity \"cmpr_6cg\" for hierarchy \"gA6_testbed:inst\|LPM_COMPARE:inst1\|cmpr_6cg:auto_generated\"" { } { { "lpm_compare.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_compare.tdf" 280 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005238 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "LPM_COUNTER gA6_testbed:inst\|LPM_COUNTER:inst " "Elaborating entity \"LPM_COUNTER\" for hierarchy \"gA6_testbed:inst\|LPM_COUNTER:inst\"" { } { { "ga6_testbed.bdf" "inst" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 184 80 216 384 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005300 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|LPM_COUNTER:inst " "Elaborated megafunction instantiation \"gA6_testbed:inst\|LPM_COUNTER:inst\"" { } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 184 80 216 384 "inst" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599005316 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|LPM_COUNTER:inst " "Instantiated megafunction \"gA6_testbed:inst\|LPM_COUNTER:inst\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 21 " "Parameter \"LPM_WIDTH\" = \"21\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005316 ""} } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 184 80 216 384 "inst" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599005316 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_h1h.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_h1h.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_h1h " "Found entity 1: cntr_h1h" { } { { "db/cntr_h1h.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_h1h.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599005409 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509599005409 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cntr_h1h gA6_testbed:inst\|LPM_COUNTER:inst\|cntr_h1h:auto_generated " "Elaborating entity \"cntr_h1h\" for hierarchy \"gA6_testbed:inst\|LPM_COUNTER:inst\|cntr_h1h:auto_generated\"" { } { { "lpm_counter.tdf" "auto_generated" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_counter.tdf" 258 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005409 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant gA6_testbed:inst\|lpm_constant:inst2 " "Elaborating entity \"lpm_constant\" for hierarchy \"gA6_testbed:inst\|lpm_constant:inst2\"" { } { { "ga6_testbed.bdf" "inst2" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 184 264 400 248 "inst2" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005456 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "gA6_testbed:inst\|lpm_constant:inst2 " "Elaborated megafunction instantiation \"gA6_testbed:inst\|lpm_constant:inst2\"" { } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 184 264 400 248 "inst2" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599005472 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "gA6_testbed:inst\|lpm_constant:inst2 " "Instantiated megafunction \"gA6_testbed:inst\|lpm_constant:inst2\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_CVALUE 200000000 " "Parameter \"LPM_CVALUE\" = \"200000000\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005472 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 21 " "Parameter \"LPM_WIDTH\" = \"21\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005472 ""} } { { "ga6_testbed.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 184 264 400 248 "inst2" "" } } } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1509599005472 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_7_segment_decoder gA6_testbed:inst\|gA6_7_segment_decoder:inst15 " "Elaborating entity \"gA6_7_segment_decoder\" for hierarchy \"gA6_testbed:inst\|gA6_7_segment_decoder:inst15\"" { } { { "ga6_testbed.bdf" "inst15" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 568 1040 1256 648 "inst15" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005488 ""} +{ "Warning" "WSGN_SEARCH_FILE" "ga6_modulo_13.bdf 1 1 " "Using design file ga6_modulo_13.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_modulo_13 " "Found entity 1: gA6_modulo_13" { } { { "ga6_modulo_13.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599005519 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1509599005519 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_modulo_13 gA6_testbed:inst\|gA6_modulo_13:inst5 " "Elaborating entity \"gA6_modulo_13\" for hierarchy \"gA6_testbed:inst\|gA6_modulo_13:inst5\"" { } { { "ga6_testbed.bdf" "inst5" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_testbed.bdf" { { 304 776 944 400 "inst5" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005534 ""} +{ "Warning" "WGDFX_PRIMITIVE_IGNORED" "GND inst13 " "Primitive \"GND\" of instance \"inst13\" not used" { } { { "ga6_modulo_13.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { { 128 586 618 160 "inst13" "" } } } } } 0 275008 "Primitive \"%1!s!\" of instance \"%2!s!\" not used" 0 0 "Quartus II" 0 -1 1509599005534 ""} +{ "Warning" "WGDFX_PRIMITIVE_IGNORED" "GND inst7 " "Primitive \"GND\" of instance \"inst7\" not used" { } { { "ga6_modulo_13.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { { 272 410 442 304 "inst7" "" } } } } } 0 275008 "Primitive \"%1!s!\" of instance \"%2!s!\" not used" 0 0 "Quartus II" 0 -1 1509599005534 ""} +{ "Warning" "WGDFX_PRIMITIVE_IGNORED" "GND inst8 " "Primitive \"GND\" of instance \"inst8\" not used" { } { { "ga6_modulo_13.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { { 272 34 66 304 "inst8" "" } } } } } 0 275008 "Primitive \"%1!s!\" of instance \"%2!s!\" not used" 0 0 "Quartus II" 0 -1 1509599005534 ""} +{ "Warning" "WSGN_SEARCH_FILE" "ga6_adder8.bdf 1 1 " "Using design file ga6_adder8.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_adder8 " "Found entity 1: gA6_adder8" { } { { "ga6_adder8.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_adder8.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599005566 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1509599005566 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_adder8 gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_adder8:inst " "Elaborating entity \"gA6_adder8\" for hierarchy \"gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_adder8:inst\"" { } { { "ga6_modulo_13.bdf" "inst" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { { 136 130 258 232 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005566 ""} +{ "Warning" "WSGN_SEARCH_FILE" "ga6_adder.bdf 1 1 " "Using design file ga6_adder.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_adder " "Found entity 1: gA6_adder" { } { { "ga6_adder.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_adder.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599005581 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1509599005581 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_adder gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_adder8:inst\|gA6_adder:inst7 " "Elaborating entity \"gA6_adder\" for hierarchy \"gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_adder8:inst\|gA6_adder:inst7\"" { } { { "ga6_adder8.bdf" "inst7" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_adder8.bdf" { { 200 48 144 296 "inst7" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005597 ""} +{ "Warning" "WSGN_SEARCH_FILE" "ga6_adder6.bdf 1 1 " "Using design file ga6_adder6.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_adder6 " "Found entity 1: gA6_adder6" { } { { "ga6_adder6.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_adder6.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599005628 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1509599005628 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_adder6 gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_adder6:inst5 " "Elaborating entity \"gA6_adder6\" for hierarchy \"gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_adder6:inst5\"" { } { { "ga6_modulo_13.bdf" "inst5" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { { 280 74 202 376 "inst5" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005628 ""} +{ "Warning" "WSGN_SEARCH_FILE" "ga6_not6.bdf 1 1 " "Using design file ga6_not6.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 gA6_not6 " "Found entity 1: gA6_not6" { } { { "ga6_not6.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_not6.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599005691 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1509599005691 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "gA6_not6 gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_not6:inst15 " "Elaborating entity \"gA6_not6\" for hierarchy \"gA6_testbed:inst\|gA6_modulo_13:inst5\|gA6_not6:inst15\"" { } { { "ga6_modulo_13.bdf" "inst15" { Schematic "C:/home/abbas/dsd_A6/lab3/ga6_modulo_13.bdf" { { 296 258 386 368 "inst15" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1509599005691 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_2q14.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_2q14.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_2q14 " "Found entity 1: altsyncram_2q14" { } { { "db/altsyncram_2q14.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/altsyncram_2q14.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599007274 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509599007274 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mux_aoc.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mux_aoc.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mux_aoc " "Found entity 1: mux_aoc" { } { { "db/mux_aoc.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/mux_aoc.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599007555 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509599007555 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/decode_rqf.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/decode_rqf.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 decode_rqf " "Found entity 1: decode_rqf" { } { { "db/decode_rqf.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/decode_rqf.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599007727 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509599007727 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_2ci.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_2ci.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_2ci " "Found entity 1: cntr_2ci" { } { { "db/cntr_2ci.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_2ci.tdf" 30 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599007951 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509599007951 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_acc.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_acc.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_acc " "Found entity 1: cmpr_acc" { } { { "db/cmpr_acc.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_acc.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599008044 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509599008044 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_02j.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_02j.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_02j " "Found entity 1: cntr_02j" { } { { "db/cntr_02j.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_02j.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599008185 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509599008185 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_sbi.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_sbi.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_sbi " "Found entity 1: cntr_sbi" { } { { "db/cntr_sbi.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_sbi.tdf" 30 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599008381 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509599008381 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_8cc.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_8cc.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_8cc " "Found entity 1: cmpr_8cc" { } { { "db/cmpr_8cc.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_8cc.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599008491 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509599008491 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_gui.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_gui.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_gui " "Found entity 1: cntr_gui" { } { { "db/cntr_gui.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_gui.tdf" 30 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599008694 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509599008694 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_5cc.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_5cc.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_5cc " "Found entity 1: cmpr_5cc" { } { { "db/cmpr_5cc.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_5cc.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1509599008803 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1509599008803 ""} +{ "Info" "ISGN_AE_SUCCESSFUL" "auto_signaltap_0 " "Analysis and Synthesis generated SignalTap II or debug node instance \"auto_signaltap_0\"" { } { } 0 12033 "Analysis and Synthesis generated SignalTap II or debug node instance \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599008975 ""} +{ "Warning" "WMLS_MLS_CONVERT_TRI_TO_OR_HDR" "" "Tri-state node(s) do not directly drive top-level pin(s)" { { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[51\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[51\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[50\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[50\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[49\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[49\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[48\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[48\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[47\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[47\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[46\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[46\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[45\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[45\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[44\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[44\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[43\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[43\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[42\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[42\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[41\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[41\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[40\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[40\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[39\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[39\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[38\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[38\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[37\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[37\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[36\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[36\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[35\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[35\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[34\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[34\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[33\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[33\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[32\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[32\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[31\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[31\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[30\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[30\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[29\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[29\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[28\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[28\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[27\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[27\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[26\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[26\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[25\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[25\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[24\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[24\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[23\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[23\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[22\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[22\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[21\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[21\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[20\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[20\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[19\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[19\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[18\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[18\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[17\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[17\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[16\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[16\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[15\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[15\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[14\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[14\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[13\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[13\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[12\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[12\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[11\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[11\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[10\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[10\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[9\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[9\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[8\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[8\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[7\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[7\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[6\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[6\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[5\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[5\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[4\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[4\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[3\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[3\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[2\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[2\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[1\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[1\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[0\] " "Converted tri-state buffer \"gA6_testbed:inst\|gA6_stack52:inst4\|gA6_pop_enable:inst104\|lpm_rom:lookup_table\|otri\[0\]\" feeding internal logic into a wire" { } { { "lpm_rom.tdf" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/lpm_rom.tdf" 67 6 0 } } } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1509599010319 ""} } { } 0 13046 "Tri-state node(s) do not directly drive top-level pin(s)" 0 0 "Quartus II" 0 -1 1509599010319 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[6\] GND " "Pin \"led_empty\[6\]\" is stuck at GND" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509599012754 "|gA6_lab3|led_empty[6]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[5\] VCC " "Pin \"led_empty\[5\]\" is stuck at VCC" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509599012754 "|gA6_lab3|led_empty[5]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[4\] VCC " "Pin \"led_empty\[4\]\" is stuck at VCC" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509599012754 "|gA6_lab3|led_empty[4]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[3\] VCC " "Pin \"led_empty\[3\]\" is stuck at VCC" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509599012754 "|gA6_lab3|led_empty[3]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[2\] VCC " "Pin \"led_empty\[2\]\" is stuck at VCC" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509599012754 "|gA6_lab3|led_empty[2]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[1\] VCC " "Pin \"led_empty\[1\]\" is stuck at VCC" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509599012754 "|gA6_lab3|led_empty[1]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_empty\[0\] VCC " "Pin \"led_empty\[0\]\" is stuck at VCC" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 168 320 496 184 "led_empty\[6..0\]" "" } { 344 416 531 356 "led_empty\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509599012754 "|gA6_lab3|led_empty[0]"} { "Warning" "WMLS_MLS_STUCK_PIN" "led_mode\[1\] GND " "Pin \"led_mode\[1\]\" is stuck at GND" { } { { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 144 320 496 160 "led_mode\[6..0\]" "" } { 328 416 530 340 "led_mode\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509599012754 "|gA6_lab3|led_mode[1]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1509599012754 ""} +{ "Info" "IMLS_MLS_PRESET_POWER_UP" "" "Registers with preset signals will power-up high" { } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 384 -1 0 } } { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 521 -1 0 } } } 0 13000 "Registers with preset signals will power-up high" 0 0 "Quartus II" 0 -1 1509599014963 ""} +{ "Info" "IMLS_MLS_DEV_CLRN_SETS_REGISTERS" "" "DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back" { } { } 0 13003 "DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back" 0 0 "Quartus II" 0 -1 1509599014963 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "sld_hub:auto_hub\|receive\[0\]\[0\] GND " "Pin \"sld_hub:auto_hub\|receive\[0\]\[0\]\" is stuck at GND" { } { { "sld_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_hub.vhd" 181 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1509599015025 "|gA6_lab3|sld_hub:auto_hub|receive[0][0]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1509599015025 ""} +{ "Info" "IAMERGE_SLD_INSTANCE_WITH_FULL_CONNECTIONS" "auto_signaltap_0 93 " "Succesfully connected in-system debug instance \"auto_signaltap_0\" to all 93 required data inputs, trigger inputs, acquisition clocks, and dynamic pins" { } { } 0 35024 "Succesfully connected in-system debug instance \"%1!s!\" to all %2!d! required data inputs, trigger inputs, acquisition clocks, and dynamic pins" 0 0 "Quartus II" 0 -1 1509599016839 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Quartus II" 0 -1 1509599016886 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Quartus II" 0 -1 1509599016886 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "1950 " "Implemented 1950 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "15 " "Implemented 15 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1509599018183 ""} { "Info" "ICUT_CUT_TM_OPINS" "43 " "Implemented 43 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1509599018183 ""} { "Info" "ICUT_CUT_TM_LCELLS" "1793 " "Implemented 1793 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1509599018183 ""} { "Info" "ICUT_CUT_TM_RAMS" "98 " "Implemented 98 RAM segments" { } { } 0 21064 "Implemented %1!d! RAM segments" 0 0 "Quartus II" 0 -1 1509599018183 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1509599018183 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 342 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 342 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "631 " "Peak virtual memory: 631 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1509599018433 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Nov 02 01:03:38 2017 " "Processing ended: Thu Nov 02 01:03:38 2017" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1509599018433 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:19 " "Elapsed time: 00:00:19" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1509599018433 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:13 " "Total CPU time (on all processors): 00:00:13" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1509599018433 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1509599018433 ""} +{ "Warning" "WACF_MISSING_TCL_FILE" "lpm_counter0.qip " "Tcl Script File lpm_counter0.qip not found" { { "Info" "IACF_ACF_ASSIGNMENT_INFO" "set_global_assignment -name QIP_FILE lpm_counter0.qip " "set_global_assignment -name QIP_FILE lpm_counter0.qip" { } { } 0 125063 "%1!s!" 0 0 "Quartus II" 0 -1 1509599020839 ""} } { } 0 125092 "Tcl Script File %1!s! not found" 0 0 "Quartus II" 0 -1 1509599020839 ""} +{ "Warning" "WACF_MISSING_TCL_FILE" "lpm_counter1.qip " "Tcl Script File lpm_counter1.qip not found" { { "Info" "IACF_ACF_ASSIGNMENT_INFO" "set_global_assignment -name QIP_FILE lpm_counter1.qip " "set_global_assignment -name QIP_FILE lpm_counter1.qip" { } { } 0 125063 "%1!s!" 0 0 "Quartus II" 0 -1 1509599020839 ""} } { } 0 125092 "Tcl Script File %1!s! not found" 0 0 "Quartus II" 0 -1 1509599020839 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1509599020839 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 64-Bit " "Running Quartus II 64-Bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition " "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1509599020839 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Nov 02 01:03:40 2017 " "Processing started: Thu Nov 02 01:03:40 2017" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1509599020839 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1509599020839 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off gA6_lab3 -c gA6_lab3 " "Command: quartus_fit --read_settings_files=off --write_settings_files=off gA6_lab3 -c gA6_lab3" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1509599020839 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #3" { } { } 0 0 "qfit2_default_script.tcl version: #3" 0 0 "Fitter" 0 0 1509599020995 ""} +{ "Info" "0" "" "Project = gA6_lab3" { } { } 0 0 "Project = gA6_lab3" 0 0 "Fitter" 0 0 1509599020995 ""} +{ "Info" "0" "" "Revision = gA6_lab3" { } { } 0 0 "Revision = gA6_lab3" 0 0 "Fitter" 0 0 1509599020995 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS_MORE_LOGICAL" "2 2 4 " "Parallel Compilation has detected 4 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 2 of the 2 physical processors detected instead." { } { } 0 11104 "Parallel Compilation has detected %3!i! hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use %1!i! of the %2!i! physical processors detected instead." 0 0 "Fitter" 0 -1 1509599021417 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "gA6_lab3 EP2C20F484C7 " "Selected device EP2C20F484C7 for design \"gA6_lab3\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1509599021449 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1509599021480 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1509599021480 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1509599022683 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1509599022699 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C15AF484C7 " "Device EP2C15AF484C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1509599023778 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C35F484C7 " "Device EP2C35F484C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1509599023778 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C50F484C7 " "Device EP2C50F484C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1509599023778 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1509599023778 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "3 " "Fitter converted 3 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ASDO~ C4 " "Pin ~ASDO~ is reserved at location C4" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { ~ASDO~ } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~ASDO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 5506 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1509599023793 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~nCSO~ C3 " "Pin ~nCSO~ is reserved at location C3" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { ~nCSO~ } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~nCSO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 5507 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1509599023793 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~LVDS91p/nCEO~ W20 " "Pin ~LVDS91p/nCEO~ is reserved at location W20" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { ~LVDS91p/nCEO~ } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~LVDS91p/nCEO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 5508 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1509599023793 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1509599023793 ""} +{ "Info" "IFSAC_FSAC_RAM_METASTABILITY_INFO" "" "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." { } { } 0 176045 "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." 0 0 "Fitter" 0 -1 1509599023793 ""} +{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "12 54 " "No exact pin location assignment(s) for 12 pins of 54 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "num\[5\] " "Pin num\[5\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { num[5] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "num\[5\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 192 320 496 208 "num" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { num[5] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 371 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509599023950 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "num\[4\] " "Pin num\[4\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { num[4] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "num\[4\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 192 320 496 208 "num" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { num[4] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 372 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509599023950 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "num\[3\] " "Pin num\[3\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { num[3] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "num\[3\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 192 320 496 208 "num" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { num[3] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 373 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509599023950 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "num\[2\] " "Pin num\[2\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { num[2] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "num\[2\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 192 320 496 208 "num" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { num[2] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 374 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509599023950 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "num\[1\] " "Pin num\[1\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { num[1] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "num\[1\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 192 320 496 208 "num" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { num[1] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 375 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509599023950 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "num\[0\] " "Pin num\[0\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { num[0] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "num\[0\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 192 320 496 208 "num" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { num[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 376 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509599023950 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[5\] " "Pin value\[5\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { value[5] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "value\[5\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 216 320 496 232 "value" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[5] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 377 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509599023950 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[4\] " "Pin value\[4\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { value[4] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "value\[4\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 216 320 496 232 "value" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[4] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 378 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509599023950 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[3\] " "Pin value\[3\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { value[3] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "value\[3\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 216 320 496 232 "value" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[3] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 379 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509599023950 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[2\] " "Pin value\[2\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { value[2] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "value\[2\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 216 320 496 232 "value" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[2] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 380 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509599023950 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[1\] " "Pin value\[1\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { value[1] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "value\[1\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 216 320 496 232 "value" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[1] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 381 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509599023950 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[0\] " "Pin value\[0\] not assigned to an exact location on the device" { } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { value[0] } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "value\[0\]" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 216 320 496 232 "value" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 382 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1509599023950 ""} } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "Fitter" 0 -1 1509599023950 ""} +{ "Info" "ISTA_SDC_STATEMENT_PARENT" "" "Evaluating HDL-embedded SDC commands" { { "Info" "ISTA_SDC_STATEMENT_ENTITY" "sld_jtag_hub " "Entity sld_jtag_hub" { { "Info" "ISTA_SDC_STATEMENT_EVAL" "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " { } { } 0 332166 "%1!s!" 0 0 "Quartus II" 0 -1 1509599024559 ""} { "Info" "ISTA_SDC_STATEMENT_EVAL" "set_clock_groups -asynchronous -group \{altera_reserved_tck\} " "set_clock_groups -asynchronous -group \{altera_reserved_tck\}" { } { } 0 332166 "%1!s!" 0 0 "Quartus II" 0 -1 1509599024559 ""} } { } 0 332165 "Entity %1!s!" 0 0 "Quartus II" 0 -1 1509599024559 ""} } { } 0 332164 "Evaluating HDL-embedded SDC commands" 0 0 "Fitter" 0 -1 1509599024559 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "gA6_lab3.sdc " "Synopsys Design Constraints File file not found: 'gA6_lab3.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1509599024575 ""} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "clk " "Node: clk was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1509599024575 "|gA6_lab3|clk"} +{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1509599024606 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1509599024606 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1509599024606 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 100.000 altera_reserved_tck " " 100.000 altera_reserved_tck" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1509599024606 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1509599024606 ""} +{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "clk (placed in PIN L1 (CLK0, LVDSCLK0p, Input)) " "Automatically promoted node clk (placed in PIN L1 (CLK0, LVDSCLK0p, Input))" { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock CLKCTRL_G2 " "Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G2" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1509599024762 ""} } { { "c:/altera/13.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0/quartus/bin64/pin_planner.ppl" { clk } } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "clk" } } } } { "gA6_lab3.bdf" "" { Schematic "C:/home/abbas/dsd_A6/lab3/gA6_lab3.bdf" { { 120 112 280 136 "clk" "" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { clk } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 386 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1509599024762 ""} +{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "altera_internal_jtag~TCKUTAP " "Automatically promoted node altera_internal_jtag~TCKUTAP " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1509599024762 ""} } { { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { altera_internal_jtag~TDO } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 2692 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1509599024762 ""} +{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "gA6_testbed:inst\|lpm_compare:inst11\|cmpr_6cg:auto_generated\|aneb_result_wire\[0\] " "Automatically promoted node gA6_testbed:inst\|lpm_compare:inst11\|cmpr_6cg:auto_generated\|aneb_result_wire\[0\] " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1509599024762 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS" "" "Following destination nodes may be non-global or may not use global or regional clocks" { { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[5\]~0 " "Destination node gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[5\]~0" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 69 19 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|counter_reg_bit1a[5]~0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1891 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509599024762 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[4\]~2 " "Destination node gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[4\]~2" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 69 19 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|counter_reg_bit1a[4]~2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1896 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509599024762 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[2\]~3 " "Destination node gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[2\]~3" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 69 19 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|counter_reg_bit1a[2]~3 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1897 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509599024762 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[3\]~4 " "Destination node gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[3\]~4" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 69 19 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|counter_reg_bit1a[3]~4 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1898 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509599024762 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[1\]~5 " "Destination node gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[1\]~5" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 69 19 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|counter_reg_bit1a[1]~5 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1899 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509599024762 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[0\]~6 " "Destination node gA6_testbed:inst\|gA6_stack52:inst4\|lpm_counter:inst115\|cntr_p2i:auto_generated\|counter_reg_bit1a\[0\]~6" { } { { "db/cntr_p2i.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cntr_p2i.tdf" 69 19 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|counter_reg_bit1a[0]~6 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1900 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509599024762 ""} } { } 0 176356 "Following destination nodes may be non-global or may not use global or regional clocks" 0 0 "Quartus II" 0 -1 1509599024762 ""} } { { "db/cmpr_6cg.tdf" "" { Text "C:/home/abbas/dsd_A6/lab3/db/cmpr_6cg.tdf" 30 18 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { gA6_testbed:inst|lpm_compare:inst11|cmpr_6cg:auto_generated|aneb_result_wire[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 1247 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1509599024762 ""} +{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "sld_signaltap:auto_signaltap_0\|sld_signaltap_impl:sld_signaltap_body\|sld_signaltap_implb:sld_signaltap_body\|reset_all " "Automatically promoted node sld_signaltap:auto_signaltap_0\|sld_signaltap_impl:sld_signaltap_body\|sld_signaltap_implb:sld_signaltap_body\|reset_all " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1509599024778 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS" "" "Following destination nodes may be non-global or may not use global or regional clocks" { { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "sld_signaltap:auto_signaltap_0\|sld_signaltap_impl:sld_signaltap_body\|sld_signaltap_implb:sld_signaltap_body\|sld_offload_buffer_mgr:\\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst\|acq_buf_read_reset~6 " "Destination node sld_signaltap:auto_signaltap_0\|sld_signaltap_impl:sld_signaltap_body\|sld_signaltap_implb:sld_signaltap_body\|sld_offload_buffer_mgr:\\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst\|acq_buf_read_reset~6" { } { { "sld_buffer_manager.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_buffer_manager.vhd" 627 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~6 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 4461 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509599024778 ""} } { } 0 176356 "Following destination nodes may be non-global or may not use global or regional clocks" 0 0 "Quartus II" 0 -1 1509599024778 ""} } { { "sld_signaltap_impl.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_signaltap_impl.vhd" 842 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|reset_all } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 3817 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1509599024778 ""} +{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|clr_reg " "Automatically promoted node sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|clr_reg " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1509599024778 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS" "" "Following destination nodes may be non-global or may not use global or regional clocks" { { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|clr_reg~_wirecell " "Destination node sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|clr_reg~_wirecell" { } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 373 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|clr_reg~_wirecell } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 3008 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509599024778 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "sld_signaltap:auto_signaltap_0\|sld_signaltap_impl:sld_signaltap_body\|sld_signaltap_implb:sld_signaltap_body\|reset_all~0 " "Destination node sld_signaltap:auto_signaltap_0\|sld_signaltap_impl:sld_signaltap_body\|sld_signaltap_implb:sld_signaltap_body\|reset_all~0" { } { { "sld_signaltap_impl.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_signaltap_impl.vhd" 842 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|reset_all~0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 4349 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509599024778 ""} } { } 0 176356 "Following destination nodes may be non-global or may not use global or regional clocks" 0 0 "Quartus II" 0 -1 1509599024778 ""} } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 373 -1 0 } } { "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0/quartus/bin64/Assignment Editor.qase" 1 { { 0 "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|clr_reg" } } } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|clr_reg } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 2807 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1509599024778 ""} +{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state\[0\] " "Automatically promoted node sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state\[0\] " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1509599024778 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS" "" "Following destination nodes may be non-global or may not use global or regional clocks" { { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state~0 " "Destination node sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state~0" { } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 1127 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state~0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 2910 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509599024778 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state~1 " "Destination node sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state~1" { } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 1127 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state~1 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 2911 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509599024778 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state\[0\]~_wirecell " "Destination node sld_hub:auto_hub\|sld_jtag_hub:\\jtag_hub_gen:sld_jtag_hub_inst\|sld_shadow_jsm:shadow_jsm\|state\[0\]~_wirecell" { } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 1141 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state[0]~_wirecell } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 3009 9224 9983 0} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1509599024778 ""} } { } 0 176356 "Following destination nodes may be non-global or may not use global or regional clocks" 0 0 "Quartus II" 0 -1 1509599024778 ""} } { { "sld_jtag_hub.vhd" "" { Text "c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 1141 -1 0 } } { "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0/quartus/bin64/TimingClosureFloorplan.fld" "" "" { sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 0 { 0 ""} 0 2716 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1509599024778 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1509599025169 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1509599025169 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1509599025169 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1509599025169 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1509599025184 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1509599025184 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1509599025184 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1509599025184 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1509599025184 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Quartus II" 0 -1 1509599025200 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1509599025200 ""} +{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "12 unused 3.3V 0 12 0 " "Number of I/O pins in group: 12 (unused VREF, 3.3V VCCIO, 0 input, 12 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "I/O standards used: 3.3-V LVTTL." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Quartus II" 0 -1 1509599025200 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Quartus II" 0 -1 1509599025200 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1509599025200 ""} +{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 1 40 " "I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 40 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509599025216 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use 3.3V 36 1 " "I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 36 total pin(s) used -- 1 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509599025216 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 43 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509599025216 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 40 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509599025216 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 2 37 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 37 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509599025216 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use 3.3V 6 30 " "I/O bank number 6 does not use VREF pins and has 3.3V VCCIO pins. 6 total pin(s) used -- 30 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509599025216 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 2 38 " "I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 38 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509599025216 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 2 41 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 41 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1509599025216 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Quartus II" 0 -1 1509599025216 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1509599025216 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:02 " "Fitter preparation operations ending: elapsed time is 00:00:02" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1509599025278 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1509599026280 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:01 " "Fitter placement preparation operations ending: elapsed time is 00:00:01" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1509599027015 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1509599027030 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1509599027624 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1509599027624 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1509599027952 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "2 " "Router estimated average interconnect usage is 2% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "6 X12_Y14 X24_Y27 " "Router estimated peak interconnect usage is 6% of the available device resources in the region that extends from location X12_Y14 to location X24_Y27" { } { { "loc" "" { Generic "C:/home/abbas/dsd_A6/lab3/" { { 1 { 0 "Router estimated peak interconnect usage is 6% of the available device resources in the region that extends from location X12_Y14 to location X24_Y27"} { { 11 { 0 "Router estimated peak interconnect usage is 6% of the available device resources in the region that extends from location X12_Y14 to location X24_Y27"} 12 14 13 14 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1509599030557 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1509599030557 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:02 " "Fitter routing operations ending: elapsed time is 00:00:02" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1509599030807 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Quartus II" 0 -1 1509599030807 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Quartus II" 0 -1 1509599030807 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1509599030807 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.39 " "Total time spent on timing analysis during the Fitter is 0.39 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1509599030885 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1509599030900 ""} +{ "Warning" "WDAT_NO_LOADING_SPECIFIED_ONE_OR_MORE_PINS" "42 " "Found 42 output pins without output pin load capacitance assignment" { { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "empty 0 " "Pin \"empty\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "full 0 " "Pin \"full\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[6\] 0 " "Pin \"led_empty\[6\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[5\] 0 " "Pin \"led_empty\[5\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[4\] 0 " "Pin \"led_empty\[4\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[3\] 0 " "Pin \"led_empty\[3\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[2\] 0 " "Pin \"led_empty\[2\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[1\] 0 " "Pin \"led_empty\[1\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_empty\[0\] 0 " "Pin \"led_empty\[0\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[6\] 0 " "Pin \"led_floor\[6\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[5\] 0 " "Pin \"led_floor\[5\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[4\] 0 " "Pin \"led_floor\[4\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[3\] 0 " "Pin \"led_floor\[3\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[2\] 0 " "Pin \"led_floor\[2\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[1\] 0 " "Pin \"led_floor\[1\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_floor\[0\] 0 " "Pin \"led_floor\[0\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[6\] 0 " "Pin \"led_mod\[6\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[5\] 0 " "Pin \"led_mod\[5\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[4\] 0 " "Pin \"led_mod\[4\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[3\] 0 " "Pin \"led_mod\[3\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[2\] 0 " "Pin \"led_mod\[2\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[1\] 0 " "Pin \"led_mod\[1\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mod\[0\] 0 " "Pin \"led_mod\[0\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[6\] 0 " "Pin \"led_mode\[6\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[5\] 0 " "Pin \"led_mode\[5\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[4\] 0 " "Pin \"led_mode\[4\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[3\] 0 " "Pin \"led_mode\[3\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[2\] 0 " "Pin \"led_mode\[2\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[1\] 0 " "Pin \"led_mode\[1\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "led_mode\[0\] 0 " "Pin \"led_mode\[0\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "num\[5\] 0 " "Pin \"num\[5\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "num\[4\] 0 " "Pin \"num\[4\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "num\[3\] 0 " "Pin \"num\[3\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "num\[2\] 0 " "Pin \"num\[2\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "num\[1\] 0 " "Pin \"num\[1\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "num\[0\] 0 " "Pin \"num\[0\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[5\] 0 " "Pin \"value\[5\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[4\] 0 " "Pin \"value\[4\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[3\] 0 " "Pin \"value\[3\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[2\] 0 " "Pin \"value\[2\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[1\] 0 " "Pin \"value\[1\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[0\] 0 " "Pin \"value\[0\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1509599030963 ""} } { } 0 306006 "Found %1!d! output pins without output pin load capacitance assignment" 0 0 "Fitter" 0 -1 1509599030963 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1509599031416 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1509599031572 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1509599032041 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:02 " "Fitter post-fit operations ending: elapsed time is 00:00:02" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1509599032354 ""} +{ "Info" "IFSAC_FSAC_RAM_METASTABILITY_INFO" "" "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." { } { } 0 176045 "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." 0 0 "Fitter" 0 -1 1509599032385 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1509599032494 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/home/abbas/dsd_A6/lab3/output_files/gA6_lab3.fit.smsg " "Generated suppressed messages file C:/home/abbas/dsd_A6/lab3/output_files/gA6_lab3.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1509599032838 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 8 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 8 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "965 " "Peak virtual memory: 965 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1509599033995 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Nov 02 01:03:53 2017 " "Processing ended: Thu Nov 02 01:03:53 2017" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1509599033995 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:13 " "Elapsed time: 00:00:13" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1509599033995 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:12 " "Total CPU time (on all processors): 00:00:12" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1509599033995 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1509599033995 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1509599036026 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition " "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1509599036026 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Nov 02 01:03:55 2017 " "Processing started: Thu Nov 02 01:03:55 2017" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1509599036026 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1509599036026 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off gA6_lab3 -c gA6_lab3 " "Command: quartus_asm --read_settings_files=off --write_settings_files=off gA6_lab3 -c gA6_lab3" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1509599036026 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1509599037383 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1509599037430 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "515 " "Peak virtual memory: 515 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1509599038164 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Nov 02 01:03:58 2017 " "Processing ended: Thu Nov 02 01:03:58 2017" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1509599038164 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1509599038164 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1509599038164 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1509599038164 ""} +{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1509599038821 ""} +{ "Warning" "WACF_MISSING_TCL_FILE" "lpm_counter0.qip " "Tcl Script File lpm_counter0.qip not found" { { "Info" "IACF_ACF_ASSIGNMENT_INFO" "set_global_assignment -name QIP_FILE lpm_counter0.qip " "set_global_assignment -name QIP_FILE lpm_counter0.qip" { } { } 0 125063 "%1!s!" 0 0 "Quartus II" 0 -1 1509599039977 ""} } { } 0 125092 "Tcl Script File %1!s! not found" 0 0 "Assembler" 0 -1 1509599039977 ""} +{ "Warning" "WACF_MISSING_TCL_FILE" "lpm_counter1.qip " "Tcl Script File lpm_counter1.qip not found" { { "Info" "IACF_ACF_ASSIGNMENT_INFO" "set_global_assignment -name QIP_FILE lpm_counter1.qip " "set_global_assignment -name QIP_FILE lpm_counter1.qip" { } { } 0 125063 "%1!s!" 0 0 "Quartus II" 0 -1 1509599039977 ""} } { } 0 125092 "Tcl Script File %1!s! not found" 0 0 "Assembler" 0 -1 1509599039977 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1509599039977 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition " "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1509599039977 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Nov 02 01:03:59 2017 " "Processing started: Thu Nov 02 01:03:59 2017" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1509599039977 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1509599039977 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta gA6_lab3 -c gA6_lab3 " "Command: quartus_sta gA6_lab3 -c gA6_lab3" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1509599039977 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1509599040117 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS_MORE_LOGICAL" "2 2 4 " "Parallel Compilation has detected 4 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 2 of the 2 physical processors detected instead." { } { } 0 11104 "Parallel Compilation has detected %3!i! hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use %1!i! of the %2!i! physical processors detected instead." 0 0 "Quartus II" 0 -1 1509599040555 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1509599040586 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1509599040586 ""} +{ "Info" "ISTA_SDC_STATEMENT_PARENT" "" "Evaluating HDL-embedded SDC commands" { { "Info" "ISTA_SDC_STATEMENT_ENTITY" "sld_jtag_hub " "Entity sld_jtag_hub" { { "Info" "ISTA_SDC_STATEMENT_EVAL" "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " { } { } 0 332166 "%1!s!" 0 0 "Quartus II" 0 -1 1509599040930 ""} { "Info" "ISTA_SDC_STATEMENT_EVAL" "set_clock_groups -asynchronous -group \{altera_reserved_tck\} " "set_clock_groups -asynchronous -group \{altera_reserved_tck\}" { } { } 0 332166 "%1!s!" 0 0 "Quartus II" 0 -1 1509599040930 ""} } { } 0 332165 "Entity %1!s!" 0 0 "Quartus II" 0 -1 1509599040930 ""} } { } 0 332164 "Evaluating HDL-embedded SDC commands" 0 0 "Quartus II" 0 -1 1509599040930 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "gA6_lab3.sdc " "Synopsys Design Constraints File file not found: 'gA6_lab3.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1509599040946 ""} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "clk " "Node: clk was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1509599040946 "|gA6_lab3|clk"} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1509599040961 ""} +{ "Info" "0" "" "Analyzing Slow Model" { } { } 0 0 "Analyzing Slow Model" 0 0 "Quartus II" 0 0 1509599040993 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509599041008 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509599041024 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509599041024 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509599041039 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509599041039 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 97.531 " "Worst-case minimum pulse width slack is 97.531" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1509599041055 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1509599041055 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 97.531 0.000 altera_reserved_tck " " 97.531 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1509599041055 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1509599041055 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1509599041102 ""} +{ "Info" "0" "" "Analyzing Fast Model" { } { } 0 0 "Analyzing Fast Model" 0 0 "Quartus II" 0 0 1509599041102 ""} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "clk " "Node: clk was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1509599041180 "|gA6_lab3|clk"} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509599041227 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509599041243 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509599041243 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1509599041258 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 97.778 " "Worst-case minimum pulse width slack is 97.778" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1509599041274 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1509599041274 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 97.778 0.000 altera_reserved_tck " " 97.778 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1509599041274 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1509599041274 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1509599041305 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1509599041414 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1509599041430 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "523 " "Peak virtual memory: 523 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1509599041861 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Nov 02 01:04:01 2017 " "Processing ended: Thu Nov 02 01:04:01 2017" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1509599041861 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1509599041861 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1509599041861 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1509599041861 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1509599048869 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus II 64-Bit " "Running Quartus II 64-Bit EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition " "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1509599048869 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Nov 02 01:04:08 2017 " "Processing started: Thu Nov 02 01:04:08 2017" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1509599048869 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1509599048869 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off gA6_lab3 -c gA6_lab3 " "Command: quartus_eda --read_settings_files=off --write_settings_files=off gA6_lab3 -c gA6_lab3" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1509599048869 ""} +{ "Info" "IWSC_DONE_HDL_GENERATION" "gA6_lab3.vo C:/home/abbas/dsd_A6/lab3/simulation/modelsim/ simulation " "Generated file gA6_lab3.vo in folder \"C:/home/abbas/dsd_A6/lab3/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "Quartus II" 0 -1 1509599049822 ""} +{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit EDA Netlist Writer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "484 " "Peak virtual memory: 484 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1509599050166 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Nov 02 01:04:10 2017 " "Processing ended: Thu Nov 02 01:04:10 2017" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1509599050166 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1509599050166 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1509599050166 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1509599050166 ""} +{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 355 s " "Quartus II Full Compilation was successful. 0 errors, 355 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1509599050807 ""} diff --git a/lab3/gA6_ff_enable52.bdf b/lab3/gA6_ff_enable52.bdf index 7472b89..87cd483 100644 --- a/lab3/gA6_ff_enable52.bdf +++ b/lab3/gA6_ff_enable52.bdf @@ -21,7 +21,7 @@ applicable agreement for further details. (header "graphic" (version "1.4")) (pin (input) - (rect 432 56 600 72) + (rect 672 56 840 72) (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) (text "nop" (rect 5 0 22 12)(font "Arial" )) (pt 168 8) @@ -37,9 +37,9 @@ applicable agreement for further details. ) (pin (input) - (rect 800 56 968 72) + (rect 856 56 1024 72) (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) - (text "en_in[51..0]" (rect 5 0 63 12)(font "Arial" )) + (text "push" (rect 5 0 28 12)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) @@ -53,9 +53,25 @@ applicable agreement for further details. ) (pin (input) - (rect 616 56 784 72) + (rect 1040 56 1208 72) (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) - (text "enable" (rect 5 0 37 12)(font "Arial" )) + (text "enable" (rect 5 0 36 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 488 56 656 72) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "en_in[51..0]" (rect 5 0 62 12)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) @@ -69,7 +85,7 @@ applicable agreement for further details. ) (pin (output) - (rect 984 56 1160 72) + (rect 1224 56 1400 72) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "en_out[51..0]" (rect 90 0 154 12)(font "Arial" )) (pt 0 8) @@ -616,7 +632,7 @@ applicable agreement for further details. ) ) (symbol - (rect 688 128 752 176) + (rect 784 128 848 176) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst13" (rect 3 37 32 49)(font "Arial" )) (port @@ -655,7 +671,7 @@ applicable agreement for further details. ) ) (symbol - (rect 688 192 752 240) + (rect 784 192 848 240) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst14" (rect 3 37 32 49)(font "Arial" )) (port @@ -694,7 +710,7 @@ applicable agreement for further details. ) ) (symbol - (rect 688 256 752 304) + (rect 784 256 848 304) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst15" (rect 3 37 32 49)(font "Arial" )) (port @@ -733,7 +749,7 @@ applicable agreement for further details. ) ) (symbol - (rect 688 320 752 368) + (rect 784 320 848 368) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst16" (rect 3 37 32 49)(font "Arial" )) (port @@ -772,7 +788,7 @@ applicable agreement for further details. ) ) (symbol - (rect 688 384 752 432) + (rect 784 384 848 432) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst17" (rect 3 37 32 49)(font "Arial" )) (port @@ -811,7 +827,7 @@ applicable agreement for further details. ) ) (symbol - (rect 688 448 752 496) + (rect 784 448 848 496) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst18" (rect 3 37 32 49)(font "Arial" )) (port @@ -850,7 +866,7 @@ applicable agreement for further details. ) ) (symbol - (rect 688 512 752 560) + (rect 784 512 848 560) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst19" (rect 3 37 32 49)(font "Arial" )) (port @@ -889,7 +905,7 @@ applicable agreement for further details. ) ) (symbol - (rect 688 576 752 624) + (rect 784 576 848 624) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst20" (rect 3 37 32 49)(font "Arial" )) (port @@ -928,7 +944,7 @@ applicable agreement for further details. ) ) (symbol - (rect 688 640 752 688) + (rect 784 640 848 688) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst21" (rect 3 37 32 49)(font "Arial" )) (port @@ -967,7 +983,7 @@ applicable agreement for further details. ) ) (symbol - (rect 688 704 752 752) + (rect 784 704 848 752) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst22" (rect 3 37 32 49)(font "Arial" )) (port @@ -1006,7 +1022,7 @@ applicable agreement for further details. ) ) (symbol - (rect 688 768 752 816) + (rect 784 768 848 816) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst23" (rect 3 37 32 49)(font "Arial" )) (port @@ -1045,7 +1061,7 @@ applicable agreement for further details. ) ) (symbol - (rect 688 832 752 880) + (rect 784 832 848 880) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst24" (rect 3 37 32 49)(font "Arial" )) (port @@ -1084,7 +1100,7 @@ applicable agreement for further details. ) ) (symbol - (rect 688 896 752 944) + (rect 784 896 848 944) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst25" (rect 3 37 32 49)(font "Arial" )) (port @@ -1123,7 +1139,7 @@ applicable agreement for further details. ) ) (symbol - (rect 944 128 1008 176) + (rect 1136 128 1200 176) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst26" (rect 3 37 32 49)(font "Arial" )) (port @@ -1162,7 +1178,7 @@ applicable agreement for further details. ) ) (symbol - (rect 944 192 1008 240) + (rect 1136 192 1200 240) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst27" (rect 3 37 32 49)(font "Arial" )) (port @@ -1201,7 +1217,7 @@ applicable agreement for further details. ) ) (symbol - (rect 944 256 1008 304) + (rect 1136 256 1200 304) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst28" (rect 3 37 32 49)(font "Arial" )) (port @@ -1240,7 +1256,7 @@ applicable agreement for further details. ) ) (symbol - (rect 944 320 1008 368) + (rect 1136 320 1200 368) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst29" (rect 3 37 32 49)(font "Arial" )) (port @@ -1279,7 +1295,7 @@ applicable agreement for further details. ) ) (symbol - (rect 944 384 1008 432) + (rect 1136 384 1200 432) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst30" (rect 3 37 32 49)(font "Arial" )) (port @@ -1318,7 +1334,7 @@ applicable agreement for further details. ) ) (symbol - (rect 944 448 1008 496) + (rect 1136 448 1200 496) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst31" (rect 3 37 32 49)(font "Arial" )) (port @@ -1357,7 +1373,7 @@ applicable agreement for further details. ) ) (symbol - (rect 944 512 1008 560) + (rect 1136 512 1200 560) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst32" (rect 3 37 32 49)(font "Arial" )) (port @@ -1396,7 +1412,7 @@ applicable agreement for further details. ) ) (symbol - (rect 944 576 1008 624) + (rect 1136 576 1200 624) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst33" (rect 3 37 32 49)(font "Arial" )) (port @@ -1435,7 +1451,7 @@ applicable agreement for further details. ) ) (symbol - (rect 944 640 1008 688) + (rect 1136 640 1200 688) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst34" (rect 3 37 32 49)(font "Arial" )) (port @@ -1474,7 +1490,7 @@ applicable agreement for further details. ) ) (symbol - (rect 944 704 1008 752) + (rect 1136 704 1200 752) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst35" (rect 3 37 32 49)(font "Arial" )) (port @@ -1513,7 +1529,7 @@ applicable agreement for further details. ) ) (symbol - (rect 944 768 1008 816) + (rect 1136 768 1200 816) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst36" (rect 3 37 32 49)(font "Arial" )) (port @@ -1552,7 +1568,7 @@ applicable agreement for further details. ) ) (symbol - (rect 944 832 1008 880) + (rect 1136 832 1200 880) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst37" (rect 3 37 32 49)(font "Arial" )) (port @@ -1591,7 +1607,7 @@ applicable agreement for further details. ) ) (symbol - (rect 944 896 1008 944) + (rect 1136 896 1200 944) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst38" (rect 3 37 32 49)(font "Arial" )) (port @@ -1630,7 +1646,7 @@ applicable agreement for further details. ) ) (symbol - (rect 1200 128 1264 176) + (rect 1488 128 1552 176) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst39" (rect 3 37 32 49)(font "Arial" )) (port @@ -1669,7 +1685,7 @@ applicable agreement for further details. ) ) (symbol - (rect 1200 192 1264 240) + (rect 1488 192 1552 240) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst40" (rect 3 37 32 49)(font "Arial" )) (port @@ -1708,7 +1724,7 @@ applicable agreement for further details. ) ) (symbol - (rect 1200 256 1264 304) + (rect 1488 256 1552 304) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst41" (rect 3 37 32 49)(font "Arial" )) (port @@ -1747,7 +1763,7 @@ applicable agreement for further details. ) ) (symbol - (rect 1200 320 1264 368) + (rect 1488 320 1552 368) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst42" (rect 3 37 32 49)(font "Arial" )) (port @@ -1786,7 +1802,7 @@ applicable agreement for further details. ) ) (symbol - (rect 1200 384 1264 432) + (rect 1488 384 1552 432) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst43" (rect 3 37 32 49)(font "Arial" )) (port @@ -1825,7 +1841,7 @@ applicable agreement for further details. ) ) (symbol - (rect 1200 448 1264 496) + (rect 1488 448 1552 496) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst44" (rect 3 37 32 49)(font "Arial" )) (port @@ -1864,7 +1880,7 @@ applicable agreement for further details. ) ) (symbol - (rect 1200 512 1264 560) + (rect 1488 512 1552 560) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst45" (rect 3 37 32 49)(font "Arial" )) (port @@ -1903,7 +1919,7 @@ applicable agreement for further details. ) ) (symbol - (rect 1200 576 1264 624) + (rect 1488 576 1552 624) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst46" (rect 3 37 32 49)(font "Arial" )) (port @@ -1942,7 +1958,7 @@ applicable agreement for further details. ) ) (symbol - (rect 1200 640 1264 688) + (rect 1488 640 1552 688) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst47" (rect 3 37 32 49)(font "Arial" )) (port @@ -1981,7 +1997,7 @@ applicable agreement for further details. ) ) (symbol - (rect 1200 704 1264 752) + (rect 1488 704 1552 752) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst48" (rect 3 37 32 49)(font "Arial" )) (port @@ -2020,7 +2036,7 @@ applicable agreement for further details. ) ) (symbol - (rect 1200 768 1264 816) + (rect 1488 768 1552 816) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst49" (rect 3 37 32 49)(font "Arial" )) (port @@ -2059,7 +2075,7 @@ applicable agreement for further details. ) ) (symbol - (rect 1200 832 1264 880) + (rect 1488 832 1552 880) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst50" (rect 3 37 32 49)(font "Arial" )) (port @@ -2098,7 +2114,7 @@ applicable agreement for further details. ) ) (symbol - (rect 1200 896 1264 944) + (rect 1488 896 1552 944) (text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst51" (rect 3 37 32 49)(font "Arial" )) (port @@ -2136,1395 +2152,3033 @@ applicable agreement for further details. (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)) ) ) -(connector - (text "en_out[0]" (rect 536 144 582 156)(font "Arial" )) - (pt 496 152) - (pt 528 152) -) -(connector - (text "en_out[1]" (rect 536 208 582 220)(font "Arial" )) - (pt 496 216) - (pt 528 216) -) -(connector - (text "en_out[2]" (rect 536 272 582 284)(font "Arial" )) - (pt 496 280) - (pt 528 280) -) -(connector - (text "en_out[3]" (rect 536 336 582 348)(font "Arial" )) - (pt 496 344) - (pt 528 344) +(symbol + (rect 544 136 608 184) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst55" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) ) -(connector - (text "en_out[4]" (rect 536 400 582 412)(font "Arial" )) - (pt 496 408) - (pt 528 408) +(symbol + (rect 544 200 608 248) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst56" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) ) -(connector - (text "en_out[5]" (rect 536 464 582 476)(font "Arial" )) - (pt 496 472) - (pt 528 472) +(symbol + (rect 544 264 608 312) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst57" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) ) -(connector - (text "en_out[6]" (rect 536 528 582 540)(font "Arial" )) - (pt 496 536) - (pt 528 536) +(symbol + (rect 544 328 608 376) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst58" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) ) -(connector - (text "en_out[7]" (rect 536 592 582 604)(font "Arial" )) - (pt 496 600) - (pt 528 600) +(symbol + (rect 544 392 608 440) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst59" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) ) -(connector - (text "en_out[8]" (rect 536 656 582 668)(font "Arial" )) - (pt 496 664) - (pt 528 664) +(symbol + (rect 544 456 608 504) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst60" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) ) -(connector - (text "en_out[9]" (rect 536 720 582 732)(font "Arial" )) - (pt 496 728) - (pt 528 728) +(symbol + (rect 544 520 608 568) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst61" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) ) -(connector - (text "en_out[10]" (rect 536 784 587 796)(font "Arial" )) - (pt 496 792) - (pt 528 792) +(symbol + (rect 544 584 608 632) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst62" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) ) -(connector - (text "en_out[11]" (rect 536 848 587 860)(font "Arial" )) - (pt 496 856) - (pt 528 856) +(symbol + (rect 544 648 608 696) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst63" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) ) -(connector - (text "en_out[12]" (rect 536 912 587 924)(font "Arial" )) - (pt 496 920) - (pt 528 920) +(symbol + (rect 544 712 608 760) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst64" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) ) -(connector - (text "en_in[44]" (rect 285 600 329 612)(font "Arial" )) - (pt 328 608) - (pt 432 608) +(symbol + (rect 544 776 608 824) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst65" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 544 840 608 888) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst66" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 544 904 608 952) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst67" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 896 136 960 184) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst68" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 896 200 960 248) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst69" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 896 264 960 312) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst70" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 896 328 960 376) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst71" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 896 392 960 440) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst72" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 896 456 960 504) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst73" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 896 520 960 568) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst74" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 896 584 960 632) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst75" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 896 648 960 696) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst76" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 896 712 960 760) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst77" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 896 776 960 824) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst78" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 896 840 960 888) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst79" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 896 904 960 952) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst80" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 1248 136 1312 184) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst81" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 1248 200 1312 248) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst82" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 1248 264 1312 312) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst83" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 1248 328 1312 376) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst84" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 1248 392 1312 440) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst85" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 1248 456 1312 504) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst86" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 1248 520 1312 568) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst87" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 1248 584 1312 632) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst88" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 1248 648 1312 696) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst89" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 1248 712 1312 760) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst90" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 1248 776 1312 824) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst91" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 1248 840 1312 888) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst92" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 1248 904 1312 952) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst93" (rect 3 37 32 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(connector + (text "en_in[44]" (rect 285 600 329 612)(font "Arial" )) + (pt 328 608) + (pt 432 608) +) +(connector + (text "en_in[43]" (rect 285 664 329 676)(font "Arial" )) + (pt 328 672) + (pt 432 672) +) +(connector + (text "en_in[42]" (rect 285 728 329 740)(font "Arial" )) + (pt 328 736) + (pt 432 736) +) +(connector + (text "en_in[41]" (rect 285 792 329 804)(font "Arial" )) + (pt 328 800) + (pt 432 800) +) +(connector + (text "en_in[40]" (rect 285 856 329 868)(font "Arial" )) + (pt 328 864) + (pt 432 864) +) +(connector + (text "en_in[39]" (rect 285 920 329 932)(font "Arial" )) + (pt 328 928) + (pt 432 928) +) +(connector + (pt 432 912) + (pt 416 912) +) +(connector + (pt 416 144) + (pt 432 144) +) +(connector + (pt 416 208) + (pt 432 208) +) +(connector + (pt 416 272) + (pt 432 272) +) +(connector + (pt 416 208) + (pt 416 272) +) +(connector + (pt 416 592) + (pt 432 592) +) +(connector + (pt 416 656) + (pt 432 656) +) +(connector + (pt 416 592) + (pt 416 656) +) +(connector + (pt 416 720) + (pt 432 720) +) +(connector + (pt 416 656) + (pt 416 720) +) +(connector + (pt 416 784) + (pt 432 784) +) +(connector + (pt 416 720) + (pt 416 784) +) +(connector + (pt 416 848) + (pt 432 848) +) +(connector + (pt 416 784) + (pt 416 848) +) +(connector + (pt 416 848) + (pt 416 912) +) +(connector + (pt 416 336) + (pt 432 336) +) +(connector + (pt 416 272) + (pt 416 336) +) +(connector + (pt 416 400) + (pt 432 400) +) +(connector + (pt 416 336) + (pt 416 400) +) +(connector + (pt 416 464) + (pt 432 464) +) +(connector + (pt 416 528) + (pt 432 528) +) +(connector + (pt 416 464) + (pt 416 528) +) +(connector + (pt 416 528) + (pt 416 592) +) +(connector + (pt 416 400) + (pt 416 464) +) +(connector + (pt 416 144) + (pt 416 208) +) +(connector + (pt 400 216) + (pt 432 216) +) +(connector + (text "en_in[49]" (rect 285 280 329 292)(font "Arial" )) + (pt 328 288) + (pt 432 288) +) +(connector + (text "en_in[48]" (rect 285 344 329 356)(font "Arial" )) + (pt 328 352) + (pt 432 352) +) +(connector + (text "en_in[47]" (rect 285 408 329 420)(font "Arial" )) + (pt 328 416) + (pt 432 416) +) +(connector + (text "en_in[46]" (rect 285 472 329 484)(font "Arial" )) + (pt 328 480) + (pt 432 480) +) +(connector + (text "en_in[45]" (rect 285 536 329 548)(font "Arial" )) + (pt 328 544) + (pt 432 544) +) +(connector + (text "en_in[50]" (rect 285 216 329 228)(font "Arial" )) + (pt 328 224) + (pt 432 224) +) +(connector + (pt 400 280) + (pt 432 280) +) +(connector + (pt 400 344) + (pt 432 344) +) +(connector + (pt 400 216) + (pt 400 280) +) +(connector + (pt 400 408) + (pt 432 408) +) +(connector + (pt 400 280) + (pt 400 344) +) +(connector + (pt 400 472) + (pt 432 472) +) +(connector + (pt 400 344) + (pt 400 408) +) +(connector + (pt 400 536) + (pt 432 536) +) +(connector + (pt 400 408) + (pt 400 472) +) +(connector + (pt 400 600) + (pt 432 600) +) +(connector + (pt 400 472) + (pt 400 536) +) +(connector + (pt 400 664) + (pt 432 664) +) +(connector + (pt 400 536) + (pt 400 600) +) +(connector + (pt 400 728) + (pt 432 728) +) +(connector + (pt 400 600) + (pt 400 664) +) +(connector + (pt 400 792) + (pt 432 792) +) +(connector + (pt 400 664) + (pt 400 728) +) +(connector + (pt 400 856) + (pt 432 856) +) +(connector + (pt 400 728) + (pt 400 792) +) +(connector + (pt 432 920) + (pt 400 920) +) +(connector + (pt 400 792) + (pt 400 856) +) +(connector + (pt 400 856) + (pt 400 920) +) +(connector + (pt 400 152) + (pt 432 152) +) +(connector + (text "en_in[51]" (rect 285 152 329 164)(font "Arial" )) + (pt 328 160) + (pt 432 160) +) +(connector + (text "nop" (rect 307 88 324 100)(font "Arial" )) + (pt 328 96) + (pt 344 96) +) +(connector + (pt 416 96) + (pt 416 144) +) +(connector + (pt 768 96) + (pt 768 144) +) +(connector + (pt 752 120) + (pt 752 152) +) +(connector + (pt 768 144) + (pt 768 208) +) +(connector + (pt 752 152) + (pt 752 216) +) +(connector + (pt 768 208) + (pt 768 272) +) +(connector + (pt 752 216) + (pt 752 280) +) +(connector + (pt 768 272) + (pt 768 336) +) +(connector + (pt 752 280) + (pt 752 344) +) +(connector + (pt 768 336) + (pt 768 400) +) +(connector + (pt 752 344) + (pt 752 408) +) +(connector + (pt 768 400) + (pt 768 464) +) +(connector + (pt 752 408) + (pt 752 472) +) +(connector + (pt 768 464) + (pt 768 528) +) +(connector + (pt 752 472) + (pt 752 536) +) +(connector + (pt 768 528) + (pt 768 592) +) +(connector + (pt 752 536) + (pt 752 600) +) +(connector + (pt 768 592) + (pt 768 656) +) +(connector + (pt 752 600) + (pt 752 664) +) +(connector + (pt 768 656) + (pt 768 720) +) +(connector + (pt 752 664) + (pt 752 728) +) +(connector + (pt 768 720) + (pt 768 784) +) +(connector + (pt 752 728) + (pt 752 792) +) +(connector + (pt 768 784) + (pt 768 848) +) +(connector + (pt 768 848) + (pt 768 912) +) +(connector + (pt 752 792) + (pt 752 856) +) +(connector + (pt 752 856) + (pt 752 920) ) (connector - (text "en_in[43]" (rect 285 664 329 676)(font "Arial" )) - (pt 328 672) - (pt 432 672) + (pt 768 144) + (pt 784 144) ) (connector - (text "en_in[42]" (rect 285 728 329 740)(font "Arial" )) - (pt 328 736) - (pt 432 736) + (pt 752 152) + (pt 784 152) ) (connector - (text "en_in[41]" (rect 285 792 329 804)(font "Arial" )) - (pt 328 800) - (pt 432 800) + (text "en_in[38]" (rect 693 152 737 164)(font "Arial" )) + (pt 736 160) + (pt 784 160) ) (connector - (text "en_in[40]" (rect 285 856 329 868)(font "Arial" )) - (pt 328 864) - (pt 432 864) + (pt 768 208) + (pt 784 208) ) (connector - (text "en_in[39]" (rect 285 920 329 932)(font "Arial" )) - (pt 328 928) - (pt 432 928) + (pt 752 216) + (pt 784 216) ) (connector - (pt 432 912) - (pt 416 912) + (text "en_in[37]" (rect 693 216 737 228)(font "Arial" )) + (pt 736 224) + (pt 784 224) ) (connector - (pt 416 144) - (pt 432 144) + (pt 768 272) + (pt 784 272) ) (connector - (pt 416 208) - (pt 432 208) + (pt 752 280) + (pt 784 280) ) (connector - (pt 416 272) - (pt 432 272) + (text "en_in[36]" (rect 693 280 737 292)(font "Arial" )) + (pt 736 288) + (pt 784 288) ) (connector - (pt 416 208) - (pt 416 272) + (pt 768 336) + (pt 784 336) ) (connector - (pt 416 592) - (pt 432 592) + (pt 752 344) + (pt 784 344) ) (connector - (pt 416 656) - (pt 432 656) + (text "en_in[35]" (rect 693 344 737 356)(font "Arial" )) + (pt 736 352) + (pt 784 352) ) (connector - (pt 416 592) - (pt 416 656) + (pt 768 400) + (pt 784 400) ) (connector - (pt 416 720) - (pt 432 720) + (pt 752 408) + (pt 784 408) ) (connector - (pt 416 656) - (pt 416 720) + (text "en_in[34]" (rect 693 408 737 420)(font "Arial" )) + (pt 736 416) + (pt 784 416) ) (connector - (pt 416 784) - (pt 432 784) + (pt 768 464) + (pt 784 464) ) (connector - (pt 416 720) - (pt 416 784) + (pt 752 472) + (pt 784 472) ) (connector - (pt 416 848) - (pt 432 848) + (text "en_in[33]" (rect 693 472 737 484)(font "Arial" )) + (pt 736 480) + (pt 784 480) ) (connector - (pt 416 784) - (pt 416 848) + (pt 768 528) + (pt 784 528) ) (connector - (pt 416 848) - (pt 416 912) + (pt 752 536) + (pt 784 536) ) (connector - (pt 416 336) - (pt 432 336) + (text "en_in[32]" (rect 693 536 737 548)(font "Arial" )) + (pt 736 544) + (pt 784 544) ) (connector - (pt 416 272) - (pt 416 336) + (pt 768 592) + (pt 784 592) ) (connector - (pt 416 400) - (pt 432 400) + (pt 752 600) + (pt 784 600) ) (connector - (pt 416 336) - (pt 416 400) + (text "en_in[31]" (rect 693 600 737 612)(font "Arial" )) + (pt 736 608) + (pt 784 608) ) (connector - (pt 416 464) - (pt 432 464) + (pt 768 656) + (pt 784 656) ) (connector - (pt 416 528) - (pt 432 528) + (pt 752 664) + (pt 784 664) ) (connector - (pt 416 464) - (pt 416 528) + (text "en_in[30]" (rect 693 664 737 676)(font "Arial" )) + (pt 736 672) + (pt 784 672) ) (connector - (pt 416 528) - (pt 416 592) + (pt 768 720) + (pt 784 720) ) (connector - (pt 416 400) - (pt 416 464) + (pt 752 728) + (pt 784 728) ) (connector - (pt 416 144) - (pt 416 208) + (text "en_in[29]" (rect 693 728 737 740)(font "Arial" )) + (pt 736 736) + (pt 784 736) ) (connector - (pt 400 216) - (pt 432 216) + (pt 768 784) + (pt 784 784) ) (connector - (text "en_in[49]" (rect 285 280 329 292)(font "Arial" )) - (pt 328 288) - (pt 432 288) + (pt 752 792) + (pt 784 792) ) (connector - (text "en_in[48]" (rect 285 344 329 356)(font "Arial" )) - (pt 328 352) - (pt 432 352) + (text "en_in[28]" (rect 693 792 737 804)(font "Arial" )) + (pt 736 800) + (pt 784 800) ) (connector - (text "en_in[47]" (rect 285 408 329 420)(font "Arial" )) - (pt 328 416) - (pt 432 416) + (pt 768 848) + (pt 784 848) ) (connector - (text "en_in[46]" (rect 285 472 329 484)(font "Arial" )) - (pt 328 480) - (pt 432 480) + (pt 752 856) + (pt 784 856) ) (connector - (text "en_in[45]" (rect 285 536 329 548)(font "Arial" )) - (pt 328 544) - (pt 432 544) + (text "en_in[27]" (rect 693 856 737 868)(font "Arial" )) + (pt 736 864) + (pt 784 864) ) (connector - (text "en_in[50]" (rect 285 216 329 228)(font "Arial" )) - (pt 328 224) - (pt 432 224) + (pt 768 912) + (pt 784 912) ) (connector - (pt 400 280) - (pt 432 280) + (pt 752 920) + (pt 784 920) ) (connector - (pt 400 344) - (pt 432 344) + (text "en_in[26]" (rect 693 920 737 932)(font "Arial" )) + (pt 736 928) + (pt 784 928) ) (connector - (pt 400 216) - (pt 400 280) + (text "en_out[51]" (rect 632 152 683 164)(font "Arial" )) + (pt 608 160) + (pt 624 160) ) (connector - (pt 400 408) - (pt 432 408) + (pt 496 152) + (pt 544 152) ) (connector - (pt 400 280) - (pt 400 344) + (text "push" (rect 504 160 527 172)(font "Arial" )) + (pt 544 168) + (pt 528 168) ) (connector - (pt 400 472) - (pt 432 472) + (text "en_out[50]" (rect 632 216 683 228)(font "Arial" )) + (pt 608 224) + (pt 624 224) ) (connector - (pt 400 344) - (pt 400 408) + (text "en_out[49]" (rect 632 280 683 292)(font "Arial" )) + (pt 608 288) + (pt 624 288) ) (connector - (pt 400 536) - (pt 432 536) + (text "en_out[48]" (rect 632 344 683 356)(font "Arial" )) + (pt 608 352) + (pt 624 352) ) (connector - (pt 400 408) - (pt 400 472) + (text "en_out[47]" (rect 632 408 683 420)(font "Arial" )) + (pt 608 416) + (pt 624 416) ) (connector - (pt 400 600) - (pt 432 600) + (text "en_out[46]" (rect 632 472 683 484)(font "Arial" )) + (pt 608 480) + (pt 624 480) ) (connector - (pt 400 472) - (pt 400 536) + (text "en_out[45]" (rect 632 536 683 548)(font "Arial" )) + (pt 608 544) + (pt 624 544) ) (connector - (pt 400 664) - (pt 432 664) + (text "en_out[44]" (rect 632 600 683 612)(font "Arial" )) + (pt 608 608) + (pt 624 608) ) (connector - (pt 400 536) - (pt 400 600) + (text "en_out[43]" (rect 632 664 683 676)(font "Arial" )) + (pt 608 672) + (pt 624 672) ) (connector - (pt 400 728) - (pt 432 728) + (text "en_out[42]" (rect 632 728 683 740)(font "Arial" )) + (pt 608 736) + (pt 624 736) ) (connector - (pt 400 600) - (pt 400 664) + (text "en_out[41]" (rect 632 792 683 804)(font "Arial" )) + (pt 608 800) + (pt 624 800) ) (connector - (pt 400 792) - (pt 432 792) + (text "en_out[40]" (rect 632 856 683 868)(font "Arial" )) + (pt 608 864) + (pt 624 864) ) (connector - (pt 400 664) - (pt 400 728) + (text "en_out[39]" (rect 632 920 683 932)(font "Arial" )) + (pt 608 928) + (pt 624 928) ) (connector - (pt 400 856) - (pt 432 856) + (pt 496 216) + (pt 544 216) ) (connector - (pt 400 728) - (pt 400 792) + (text "push" (rect 504 224 527 236)(font "Arial" )) + (pt 544 232) + (pt 528 232) ) (connector - (pt 432 920) - (pt 400 920) + (pt 496 280) + (pt 544 280) ) (connector - (pt 400 792) - (pt 400 856) + (text "push" (rect 504 288 527 300)(font "Arial" )) + (pt 544 296) + (pt 528 296) ) (connector - (pt 400 856) - (pt 400 920) + (pt 496 344) + (pt 544 344) ) (connector - (pt 400 152) - (pt 432 152) + (text "push" (rect 504 352 527 364)(font "Arial" )) + (pt 544 360) + (pt 528 360) ) (connector - (text "en_in[51]" (rect 285 152 329 164)(font "Arial" )) - (pt 328 160) - (pt 432 160) + (pt 496 408) + (pt 544 408) ) (connector - (text "nop" (rect 307 88 324 100)(font "Arial" )) - (pt 328 96) - (pt 344 96) + (text "push" (rect 504 416 527 428)(font "Arial" )) + (pt 544 424) + (pt 528 424) ) (connector - (pt 416 96) - (pt 416 144) + (pt 496 472) + (pt 544 472) ) (connector - (pt 400 120) - (pt 400 152) + (text "push" (rect 504 480 527 492)(font "Arial" )) + (pt 544 488) + (pt 528 488) ) (connector - (pt 400 152) - (pt 400 216) + (pt 496 536) + (pt 544 536) ) (connector - (pt 672 208) - (pt 688 208) + (text "push" (rect 504 544 527 556)(font "Arial" )) + (pt 544 552) + (pt 528 552) ) (connector - (pt 672 272) - (pt 688 272) + (pt 496 600) + (pt 544 600) ) (connector - (pt 672 336) - (pt 688 336) + (text "push" (rect 504 608 527 620)(font "Arial" )) + (pt 544 616) + (pt 528 616) ) (connector - (pt 672 400) - (pt 688 400) + (pt 496 664) + (pt 544 664) ) (connector - (pt 672 464) - (pt 688 464) + (text "push" (rect 504 672 527 684)(font "Arial" )) + (pt 544 680) + (pt 528 680) ) (connector - (pt 672 528) - (pt 688 528) + (pt 496 728) + (pt 544 728) ) (connector - (pt 672 592) - (pt 688 592) + (text "push" (rect 504 736 527 748)(font "Arial" )) + (pt 544 744) + (pt 528 744) ) (connector - (pt 672 656) - (pt 688 656) + (pt 496 792) + (pt 544 792) ) (connector - (pt 672 720) - (pt 688 720) + (text "push" (rect 504 800 527 812)(font "Arial" )) + (pt 544 808) + (pt 528 808) ) (connector - (pt 672 784) - (pt 688 784) + (pt 496 856) + (pt 544 856) ) (connector - (pt 672 848) - (pt 688 848) + (text "push" (rect 504 864 527 876)(font "Arial" )) + (pt 544 872) + (pt 528 872) ) (connector - (pt 672 912) - (pt 688 912) + (pt 496 920) + (pt 544 920) ) (connector - (pt 672 144) - (pt 688 144) + (text "push" (rect 504 928 527 940)(font "Arial" )) + (pt 544 936) + (pt 528 936) ) (connector - (pt 656 920) - (pt 688 920) + (pt 848 152) + (pt 896 152) ) (connector - (pt 688 856) - (pt 656 856) + (text "push" (rect 856 160 879 172)(font "Arial" )) + (pt 896 168) + (pt 880 168) ) (connector - (pt 688 792) - (pt 656 792) + (pt 848 216) + (pt 896 216) ) (connector - (pt 688 728) - (pt 656 728) + (text "push" (rect 856 224 879 236)(font "Arial" )) + (pt 896 232) + (pt 880 232) ) (connector - (pt 656 664) - (pt 688 664) + (pt 848 280) + (pt 896 280) ) (connector - (pt 688 600) - (pt 656 600) + (text "push" (rect 856 288 879 300)(font "Arial" )) + (pt 896 296) + (pt 880 296) ) (connector - (pt 688 536) - (pt 656 536) + (pt 848 344) + (pt 896 344) ) (connector - (pt 688 472) - (pt 656 472) + (text "push" (rect 856 352 879 364)(font "Arial" )) + (pt 896 360) + (pt 880 360) ) (connector - (pt 688 408) - (pt 656 408) + (pt 848 408) + (pt 896 408) ) (connector - (pt 688 344) - (pt 656 344) + (text "push" (rect 856 416 879 428)(font "Arial" )) + (pt 896 424) + (pt 880 424) ) (connector - (pt 688 280) - (pt 656 280) + (pt 848 472) + (pt 896 472) ) (connector - (pt 688 216) - (pt 656 216) + (text "push" (rect 856 480 879 492)(font "Arial" )) + (pt 896 488) + (pt 880 488) ) (connector - (pt 688 152) - (pt 656 152) + (pt 848 536) + (pt 896 536) ) (connector - (text "en_in[38]" (rect 597 152 641 164)(font "Arial" )) - (pt 688 160) - (pt 640 160) + (text "push" (rect 856 544 879 556)(font "Arial" )) + (pt 896 552) + (pt 880 552) ) (connector - (text "en_in[37]" (rect 597 216 641 228)(font "Arial" )) - (pt 688 224) - (pt 640 224) + (pt 848 600) + (pt 896 600) ) (connector - (text "en_in[36]" (rect 597 280 641 292)(font "Arial" )) - (pt 688 288) - (pt 640 288) + (text "push" (rect 856 608 879 620)(font "Arial" )) + (pt 896 616) + (pt 880 616) ) (connector - (text "en_in[35]" (rect 597 344 641 356)(font "Arial" )) - (pt 688 352) - (pt 640 352) + (pt 848 664) + (pt 896 664) ) (connector - (text "en_in[34]" (rect 597 408 641 420)(font "Arial" )) - (pt 688 416) - (pt 640 416) + (text "push" (rect 856 672 879 684)(font "Arial" )) + (pt 896 680) + (pt 880 680) ) (connector - (text "en_in[33]" (rect 597 472 641 484)(font "Arial" )) - (pt 688 480) - (pt 640 480) + (pt 848 728) + (pt 896 728) ) (connector - (text "en_in[32]" (rect 597 536 641 548)(font "Arial" )) - (pt 688 544) - (pt 640 544) + (text "push" (rect 856 736 879 748)(font "Arial" )) + (pt 896 744) + (pt 880 744) ) (connector - (text "en_in[31]" (rect 597 600 641 612)(font "Arial" )) - (pt 688 608) - (pt 640 608) + (pt 848 792) + (pt 896 792) ) (connector - (text "en_in[30]" (rect 597 664 641 676)(font "Arial" )) - (pt 688 672) - (pt 640 672) + (text "push" (rect 856 800 879 812)(font "Arial" )) + (pt 896 808) + (pt 880 808) ) (connector - (text "en_in[29]" (rect 597 728 641 740)(font "Arial" )) - (pt 688 736) - (pt 640 736) + (pt 848 856) + (pt 896 856) ) (connector - (text "en_in[28]" (rect 597 792 641 804)(font "Arial" )) - (pt 688 800) - (pt 640 800) + (text "push" (rect 856 864 879 876)(font "Arial" )) + (pt 896 872) + (pt 880 872) ) (connector - (text "en_in[27]" (rect 597 856 641 868)(font "Arial" )) - (pt 688 864) - (pt 640 864) + (pt 848 920) + (pt 896 920) ) (connector - (text "en_in[26]" (rect 597 920 641 932)(font "Arial" )) - (pt 688 928) - (pt 640 928) + (text "push" (rect 856 928 879 940)(font "Arial" )) + (pt 896 936) + (pt 880 936) ) (connector - (pt 672 656) - (pt 672 720) + (text "en_out[38]" (rect 984 152 1035 164)(font "Arial" )) + (pt 960 160) + (pt 976 160) ) (connector - (pt 672 720) - (pt 672 784) + (text "en_out[37]" (rect 984 216 1035 228)(font "Arial" )) + (pt 960 224) + (pt 976 224) ) (connector - (pt 672 784) - (pt 672 848) + (text "en_out[36]" (rect 984 280 1035 292)(font "Arial" )) + (pt 960 288) + (pt 976 288) ) (connector - (pt 672 848) - (pt 672 912) + (text "en_out[35]" (rect 984 344 1035 356)(font "Arial" )) + (pt 960 352) + (pt 976 352) ) (connector - (pt 392 96) - (pt 416 96) + (text "en_out[34]" (rect 984 408 1035 420)(font "Arial" )) + (pt 960 416) + (pt 976 416) ) (connector - (pt 672 96) - (pt 672 144) + (text "en_out[33]" (rect 984 472 1035 484)(font "Arial" )) + (pt 960 480) + (pt 976 480) ) (connector - (pt 672 144) - (pt 672 208) + (text "en_out[32]" (rect 984 536 1035 548)(font "Arial" )) + (pt 960 544) + (pt 976 544) ) (connector - (pt 672 208) - (pt 672 272) + (text "en_out[31]" (rect 984 600 1035 612)(font "Arial" )) + (pt 960 608) + (pt 976 608) ) (connector - (pt 672 272) - (pt 672 336) + (text "en_out[30]" (rect 984 664 1035 676)(font "Arial" )) + (pt 960 672) + (pt 976 672) ) (connector - (pt 672 336) - (pt 672 400) + (text "en_out[29]" (rect 984 728 1035 740)(font "Arial" )) + (pt 960 736) + (pt 976 736) ) (connector - (pt 672 400) - (pt 672 464) + (text "en_out[28]" (rect 984 792 1035 804)(font "Arial" )) + (pt 960 800) + (pt 976 800) ) (connector - (pt 672 464) - (pt 672 528) + (text "en_out[27]" (rect 984 856 1035 868)(font "Arial" )) + (pt 960 864) + (pt 976 864) ) (connector - (pt 672 528) - (pt 672 592) + (text "en_out[26]" (rect 984 920 1035 932)(font "Arial" )) + (pt 960 928) + (pt 976 928) ) (connector - (pt 672 592) - (pt 672 656) + (pt 1120 144) + (pt 1136 144) ) (connector - (pt 656 856) - (pt 656 920) + (pt 1104 152) + (pt 1136 152) ) (connector - (pt 656 792) - (pt 656 856) + (text "en_in[25]" (rect 1045 152 1089 164)(font "Arial" )) + (pt 1088 160) + (pt 1136 160) ) (connector - (pt 656 728) - (pt 656 792) + (pt 1120 208) + (pt 1136 208) ) (connector - (pt 656 664) - (pt 656 728) + (pt 1104 216) + (pt 1136 216) ) (connector - (pt 656 600) - (pt 656 664) + (text "en_in[24]" (rect 1045 216 1089 228)(font "Arial" )) + (pt 1088 224) + (pt 1136 224) ) (connector - (pt 656 120) - (pt 656 152) + (pt 1120 272) + (pt 1136 272) ) (connector - (pt 656 152) - (pt 656 216) + (pt 1104 280) + (pt 1136 280) ) (connector - (pt 656 216) - (pt 656 280) + (text "en_in[23]" (rect 1045 280 1089 292)(font "Arial" )) + (pt 1088 288) + (pt 1136 288) ) (connector - (pt 656 280) - (pt 656 344) + (pt 1120 336) + (pt 1136 336) ) (connector - (pt 656 344) - (pt 656 408) + (pt 1104 344) + (pt 1136 344) ) (connector - (pt 656 408) - (pt 656 472) + (text "en_in[22]" (rect 1045 344 1089 356)(font "Arial" )) + (pt 1088 352) + (pt 1136 352) ) (connector - (pt 656 472) - (pt 656 536) + (pt 1120 400) + (pt 1136 400) ) (connector - (pt 656 536) - (pt 656 600) + (pt 1104 408) + (pt 1136 408) ) (connector - (text "en_out[13]" (rect 792 144 843 156)(font "Arial" )) - (pt 752 152) - (pt 784 152) + (text "en_in[21]" (rect 1045 408 1089 420)(font "Arial" )) + (pt 1088 416) + (pt 1136 416) ) (connector - (text "en_out[14]" (rect 792 208 843 220)(font "Arial" )) - (pt 752 216) - (pt 784 216) + (pt 1120 464) + (pt 1136 464) ) (connector - (text "en_out[15]" (rect 792 272 843 284)(font "Arial" )) - (pt 752 280) - (pt 784 280) + (pt 1104 472) + (pt 1136 472) ) (connector - (text "en_out[16]" (rect 792 336 843 348)(font "Arial" )) - (pt 752 344) - (pt 784 344) + (text "en_in[20]" (rect 1045 472 1089 484)(font "Arial" )) + (pt 1088 480) + (pt 1136 480) ) (connector - (text "en_out[17]" (rect 792 400 843 412)(font "Arial" )) - (pt 752 408) - (pt 784 408) + (pt 1120 528) + (pt 1136 528) ) (connector - (text "en_out[18]" (rect 792 464 843 476)(font "Arial" )) - (pt 752 472) - (pt 784 472) + (pt 1104 536) + (pt 1136 536) ) (connector - (text "en_out[19]" (rect 792 528 843 540)(font "Arial" )) - (pt 752 536) - (pt 784 536) + (text "en_in[19]" (rect 1045 536 1089 548)(font "Arial" )) + (pt 1088 544) + (pt 1136 544) ) (connector - (text "en_out[20]" (rect 792 592 843 604)(font "Arial" )) - (pt 752 600) - (pt 784 600) + (pt 1120 592) + (pt 1136 592) ) (connector - (text "en_out[21]" (rect 792 656 843 668)(font "Arial" )) - (pt 752 664) - (pt 784 664) + (pt 1104 600) + (pt 1136 600) ) (connector - (text "en_out[22]" (rect 792 720 843 732)(font "Arial" )) - (pt 752 728) - (pt 784 728) + (text "en_in[18]" (rect 1045 600 1089 612)(font "Arial" )) + (pt 1088 608) + (pt 1136 608) ) (connector - (text "en_out[23]" (rect 792 784 843 796)(font "Arial" )) - (pt 752 792) - (pt 784 792) + (pt 1120 656) + (pt 1136 656) ) (connector - (text "en_out[24]" (rect 792 848 843 860)(font "Arial" )) - (pt 752 856) - (pt 784 856) + (pt 1104 664) + (pt 1136 664) ) (connector - (text "en_out[25]" (rect 792 912 843 924)(font "Arial" )) - (pt 752 920) - (pt 784 920) + (text "en_in[17]" (rect 1045 664 1089 676)(font "Arial" )) + (pt 1088 672) + (pt 1136 672) ) (connector - (text "enable" (rect 296 112 327 124)(font "Arial" )) - (pt 328 120) - (pt 400 120) + (pt 1120 720) + (pt 1136 720) ) (connector - (pt 928 144) - (pt 944 144) + (pt 1104 728) + (pt 1136 728) ) (connector - (pt 928 208) - (pt 944 208) + (text "en_in[16]" (rect 1045 728 1089 740)(font "Arial" )) + (pt 1088 736) + (pt 1136 736) ) (connector - (pt 928 272) - (pt 944 272) + (pt 1120 784) + (pt 1136 784) ) (connector - (pt 928 336) - (pt 944 336) + (pt 1104 792) + (pt 1136 792) ) (connector - (pt 928 400) - (pt 944 400) + (text "en_in[15]" (rect 1045 792 1089 804)(font "Arial" )) + (pt 1088 800) + (pt 1136 800) ) (connector - (pt 928 464) - (pt 944 464) + (pt 1120 848) + (pt 1136 848) ) (connector - (pt 928 528) - (pt 944 528) + (pt 1104 856) + (pt 1136 856) ) (connector - (pt 928 592) - (pt 944 592) + (text "en_in[14]" (rect 1045 856 1089 868)(font "Arial" )) + (pt 1088 864) + (pt 1136 864) ) (connector - (pt 928 656) - (pt 944 656) + (pt 1120 912) + (pt 1136 912) ) (connector - (pt 928 720) - (pt 944 720) + (pt 1104 920) + (pt 1136 920) ) (connector - (pt 928 784) - (pt 944 784) + (text "en_in[13]" (rect 1045 920 1089 932)(font "Arial" )) + (pt 1088 928) + (pt 1136 928) ) (connector - (pt 928 912) - (pt 944 912) + (pt 1120 96) + (pt 1120 144) ) (connector - (text "en_in[25]" (rect 853 152 897 164)(font "Arial" )) - (pt 944 160) - (pt 896 160) + (pt 1104 120) + (pt 1104 152) ) (connector - (text "en_in[24]" (rect 853 216 897 228)(font "Arial" )) - (pt 944 224) - (pt 896 224) + (pt 1120 144) + (pt 1120 208) ) (connector - (text "en_in[23]" (rect 853 280 897 292)(font "Arial" )) - (pt 944 288) - (pt 896 288) + (pt 1104 152) + (pt 1104 216) ) (connector - (text "en_in[22]" (rect 853 344 897 356)(font "Arial" )) - (pt 944 352) - (pt 896 352) + (pt 1120 208) + (pt 1120 272) ) (connector - (text "en_in[21]" (rect 853 408 897 420)(font "Arial" )) - (pt 944 416) - (pt 896 416) + (pt 1104 216) + (pt 1104 280) ) (connector - (text "en_in[20]" (rect 853 472 897 484)(font "Arial" )) - (pt 944 480) - (pt 896 480) + (pt 1120 272) + (pt 1120 336) ) (connector - (text "en_in[19]" (rect 853 536 897 548)(font "Arial" )) - (pt 944 544) - (pt 896 544) + (pt 1104 280) + (pt 1104 344) ) (connector - (text "en_in[18]" (rect 853 600 897 612)(font "Arial" )) - (pt 944 608) - (pt 896 608) + (pt 1120 336) + (pt 1120 400) ) (connector - (text "en_in[17]" (rect 853 664 897 676)(font "Arial" )) - (pt 944 672) - (pt 896 672) + (pt 1104 344) + (pt 1104 408) ) (connector - (text "en_in[16]" (rect 853 728 897 740)(font "Arial" )) - (pt 944 736) - (pt 896 736) + (pt 1120 400) + (pt 1120 464) ) (connector - (text "en_in[15]" (rect 853 792 897 804)(font "Arial" )) - (pt 944 800) - (pt 896 800) + (pt 1104 408) + (pt 1104 472) ) (connector - (text "en_in[14]" (rect 853 856 897 868)(font "Arial" )) - (pt 944 864) - (pt 896 864) + (pt 1120 464) + (pt 1120 528) ) (connector - (text "en_in[13]" (rect 853 920 897 932)(font "Arial" )) - (pt 944 928) - (pt 896 928) + (pt 1104 472) + (pt 1104 536) ) (connector - (text "en_out[26]" (rect 1048 144 1099 156)(font "Arial" )) - (pt 1008 152) - (pt 1040 152) + (pt 1120 528) + (pt 1120 592) ) (connector - (text "en_out[27]" (rect 1048 208 1099 220)(font "Arial" )) - (pt 1008 216) - (pt 1040 216) + (pt 1104 536) + (pt 1104 600) ) (connector - (text "en_out[28]" (rect 1048 272 1099 284)(font "Arial" )) - (pt 1008 280) - (pt 1040 280) + (pt 1120 592) + (pt 1120 656) ) (connector - (text "en_out[29]" (rect 1048 336 1099 348)(font "Arial" )) - (pt 1008 344) - (pt 1040 344) + (pt 1104 600) + (pt 1104 664) ) (connector - (text "en_out[30]" (rect 1048 400 1099 412)(font "Arial" )) - (pt 1008 408) - (pt 1040 408) + (pt 1120 656) + (pt 1120 720) ) (connector - (text "en_out[31]" (rect 1048 464 1099 476)(font "Arial" )) - (pt 1008 472) - (pt 1040 472) + (pt 1104 664) + (pt 1104 728) ) (connector - (text "en_out[32]" (rect 1048 528 1099 540)(font "Arial" )) - (pt 1008 536) - (pt 1040 536) + (pt 1120 720) + (pt 1120 784) ) (connector - (text "en_out[33]" (rect 1048 592 1099 604)(font "Arial" )) - (pt 1008 600) - (pt 1040 600) + (pt 1120 784) + (pt 1120 848) ) (connector - (text "en_out[34]" (rect 1048 656 1099 668)(font "Arial" )) - (pt 1008 664) - (pt 1040 664) + (pt 1120 848) + (pt 1120 912) ) (connector - (text "en_out[35]" (rect 1048 720 1099 732)(font "Arial" )) - (pt 1008 728) - (pt 1040 728) + (pt 1104 728) + (pt 1104 792) ) (connector - (text "en_out[36]" (rect 1048 784 1099 796)(font "Arial" )) - (pt 1008 792) - (pt 1040 792) + (pt 1104 792) + (pt 1104 856) ) (connector - (text "en_out[37]" (rect 1048 848 1099 860)(font "Arial" )) - (pt 1008 856) - (pt 1040 856) + (pt 1104 856) + (pt 1104 920) ) (connector - (text "en_out[38]" (rect 1048 912 1099 924)(font "Arial" )) - (pt 1008 920) - (pt 1040 920) + (text "en_out[0]" (rect 1592 912 1638 924)(font "Arial" )) + (pt 1552 920) + (pt 1584 920) ) (connector - (pt 928 208) - (pt 928 272) + (text "en_out[12]" (rect 1592 144 1643 156)(font "Arial" )) + (pt 1552 152) + (pt 1584 152) ) (connector - (pt 928 272) - (pt 928 336) + (text "en_out[11]" (rect 1592 208 1643 220)(font "Arial" )) + (pt 1552 216) + (pt 1584 216) ) (connector - (pt 928 336) - (pt 928 400) + (text "en_out[10]" (rect 1592 272 1643 284)(font "Arial" )) + (pt 1552 280) + (pt 1584 280) ) (connector - (pt 928 400) - (pt 928 464) + (text "en_out[9]" (rect 1592 336 1638 348)(font "Arial" )) + (pt 1552 344) + (pt 1584 344) ) (connector - (pt 928 464) - (pt 928 528) + (text "en_out[8]" (rect 1592 400 1638 412)(font "Arial" )) + (pt 1552 408) + (pt 1584 408) ) (connector - (pt 928 528) - (pt 928 592) + (text "en_out[7]" (rect 1592 464 1638 476)(font "Arial" )) + (pt 1552 472) + (pt 1584 472) ) (connector - (pt 928 592) - (pt 928 656) + (text "en_out[6]" (rect 1592 528 1638 540)(font "Arial" )) + (pt 1552 536) + (pt 1584 536) ) (connector - (pt 928 656) - (pt 928 720) + (text "en_out[5]" (rect 1592 592 1638 604)(font "Arial" )) + (pt 1552 600) + (pt 1584 600) ) (connector - (pt 928 720) - (pt 928 784) + (text "en_out[4]" (rect 1592 656 1638 668)(font "Arial" )) + (pt 1552 664) + (pt 1584 664) ) (connector - (pt 928 848) - (pt 944 848) + (text "en_out[3]" (rect 1592 720 1638 732)(font "Arial" )) + (pt 1552 728) + (pt 1584 728) ) (connector - (pt 928 784) - (pt 928 848) + (text "en_out[2]" (rect 1592 784 1638 796)(font "Arial" )) + (pt 1552 792) + (pt 1584 792) ) (connector - (pt 928 848) - (pt 928 912) + (text "en_out[1]" (rect 1592 848 1638 860)(font "Arial" )) + (pt 1552 856) + (pt 1584 856) ) (connector - (pt 400 120) - (pt 656 120) + (text "en_out[25]" (rect 1336 152 1387 164)(font "Arial" )) + (pt 1312 160) + (pt 1328 160) ) (connector - (pt 944 920) - (pt 912 920) + (text "en_out[24]" (rect 1336 216 1387 228)(font "Arial" )) + (pt 1312 224) + (pt 1328 224) ) (connector - (pt 1184 144) - (pt 1200 144) + (text "en_out[23]" (rect 1336 280 1387 292)(font "Arial" )) + (pt 1312 288) + (pt 1328 288) ) (connector - (pt 1184 208) - (pt 1200 208) + (text "en_out[22]" (rect 1336 344 1387 356)(font "Arial" )) + (pt 1312 352) + (pt 1328 352) ) (connector - (pt 1184 272) - (pt 1200 272) + (text "en_out[21]" (rect 1336 408 1387 420)(font "Arial" )) + (pt 1312 416) + (pt 1328 416) ) (connector - (pt 1184 336) - (pt 1200 336) + (text "en_out[20]" (rect 1336 472 1387 484)(font "Arial" )) + (pt 1312 480) + (pt 1328 480) ) (connector - (pt 1184 400) - (pt 1200 400) + (text "en_out[19]" (rect 1336 536 1387 548)(font "Arial" )) + (pt 1312 544) + (pt 1328 544) ) (connector - (pt 1184 464) - (pt 1200 464) + (text "en_out[18]" (rect 1336 600 1387 612)(font "Arial" )) + (pt 1312 608) + (pt 1328 608) ) (connector - (pt 1184 528) - (pt 1200 528) + (text "en_out[17]" (rect 1336 664 1387 676)(font "Arial" )) + (pt 1312 672) + (pt 1328 672) ) (connector - (pt 1184 592) - (pt 1200 592) + (text "en_out[16]" (rect 1336 728 1387 740)(font "Arial" )) + (pt 1312 736) + (pt 1328 736) ) (connector - (pt 1184 656) - (pt 1200 656) + (text "en_out[15]" (rect 1336 792 1387 804)(font "Arial" )) + (pt 1312 800) + (pt 1328 800) ) (connector - (pt 1184 720) - (pt 1200 720) + (text "en_out[14]" (rect 1336 856 1387 868)(font "Arial" )) + (pt 1312 864) + (pt 1328 864) ) (connector - (pt 1184 784) - (pt 1200 784) + (text "en_out[13]" (rect 1336 920 1387 932)(font "Arial" )) + (pt 1312 928) + (pt 1328 928) ) (connector - (pt 1184 848) - (pt 1200 848) + (pt 400 120) + (pt 400 152) ) (connector - (pt 1184 912) - (pt 1200 912) + (pt 400 152) + (pt 400 216) ) (connector - (text "en_in[12]" (rect 1109 152 1153 164)(font "Arial" )) - (pt 1200 160) - (pt 1152 160) + (pt 392 96) + (pt 416 96) ) (connector - (text "en_in[11]" (rect 1109 216 1153 228)(font "Arial" )) - (pt 1200 224) - (pt 1152 224) + (pt 416 96) + (pt 768 96) ) (connector - (text "en_in[10]" (rect 1109 280 1153 292)(font "Arial" )) - (pt 1200 288) - (pt 1152 288) + (pt 768 96) + (pt 1120 96) ) (connector - (text "en_in[9]" (rect 1109 344 1147 356)(font "Arial" )) - (pt 1200 352) - (pt 1152 352) + (pt 1120 96) + (pt 1472 96) ) (connector - (text "en_in[8]" (rect 1109 408 1147 420)(font "Arial" )) - (pt 1200 416) - (pt 1152 416) + (pt 752 120) + (pt 1104 120) ) (connector - (text "en_in[7]" (rect 1109 472 1147 484)(font "Arial" )) - (pt 1200 480) - (pt 1152 480) + (pt 1104 120) + (pt 1456 120) ) (connector - (text "en_in[6]" (rect 1109 536 1147 548)(font "Arial" )) - (pt 1200 544) - (pt 1152 544) + (pt 1472 96) + (pt 1472 144) ) (connector - (text "en_in[5]" (rect 1109 600 1147 612)(font "Arial" )) - (pt 1200 608) - (pt 1152 608) + (pt 1456 120) + (pt 1456 152) ) (connector - (text "en_in[4]" (rect 1109 664 1147 676)(font "Arial" )) - (pt 1200 672) - (pt 1152 672) + (pt 1472 144) + (pt 1472 208) ) (connector - (text "en_in[3]" (rect 1109 728 1147 740)(font "Arial" )) - (pt 1200 736) - (pt 1152 736) + (pt 1456 152) + (pt 1456 216) ) (connector - (text "en_in[2]" (rect 1109 792 1147 804)(font "Arial" )) - (pt 1200 800) - (pt 1152 800) + (pt 1472 208) + (pt 1472 272) ) (connector - (text "en_in[1]" (rect 1109 856 1147 868)(font "Arial" )) - (pt 1200 864) - (pt 1152 864) + (pt 1456 216) + (pt 1456 280) ) (connector - (text "en_in[0]" (rect 1109 920 1147 932)(font "Arial" )) - (pt 1200 928) - (pt 1152 928) + (pt 1472 272) + (pt 1472 336) ) (connector - (text "en_out[39]" (rect 1304 144 1355 156)(font "Arial" )) - (pt 1264 152) - (pt 1296 152) + (pt 1456 280) + (pt 1456 344) ) (connector - (text "en_out[40]" (rect 1304 208 1355 220)(font "Arial" )) - (pt 1264 216) - (pt 1296 216) + (pt 1472 336) + (pt 1472 400) ) (connector - (text "en_out[41]" (rect 1304 272 1355 284)(font "Arial" )) - (pt 1264 280) - (pt 1296 280) + (pt 1456 344) + (pt 1456 408) ) (connector - (text "en_out[42]" (rect 1304 336 1355 348)(font "Arial" )) - (pt 1264 344) - (pt 1296 344) + (pt 1472 400) + (pt 1472 464) ) (connector - (text "en_out[43]" (rect 1304 400 1355 412)(font "Arial" )) - (pt 1264 408) - (pt 1296 408) + (pt 1456 408) + (pt 1456 472) ) (connector - (text "en_out[44]" (rect 1304 464 1355 476)(font "Arial" )) - (pt 1264 472) - (pt 1296 472) + (pt 1472 464) + (pt 1472 528) ) (connector - (text "en_out[45]" (rect 1304 528 1355 540)(font "Arial" )) - (pt 1264 536) - (pt 1296 536) + (pt 1456 472) + (pt 1456 536) ) (connector - (text "en_out[46]" (rect 1304 592 1355 604)(font "Arial" )) - (pt 1264 600) - (pt 1296 600) + (pt 1472 528) + (pt 1472 592) ) (connector - (text "en_out[47]" (rect 1304 656 1355 668)(font "Arial" )) - (pt 1264 664) - (pt 1296 664) + (pt 1456 536) + (pt 1456 600) ) (connector - (text "en_out[48]" (rect 1304 720 1355 732)(font "Arial" )) - (pt 1264 728) - (pt 1296 728) + (pt 1472 592) + (pt 1472 656) ) (connector - (text "en_out[49]" (rect 1304 784 1355 796)(font "Arial" )) - (pt 1264 792) - (pt 1296 792) + (pt 1456 600) + (pt 1456 664) ) (connector - (text "en_out[50]" (rect 1304 848 1355 860)(font "Arial" )) - (pt 1264 856) - (pt 1296 856) + (pt 1472 656) + (pt 1472 720) ) (connector - (text "en_out[51]" (rect 1304 912 1355 924)(font "Arial" )) - (pt 1264 920) - (pt 1296 920) + (pt 1456 664) + (pt 1456 728) ) (connector - (pt 416 96) - (pt 672 96) + (pt 1472 720) + (pt 1472 784) ) (connector - (pt 672 96) - (pt 928 96) + (pt 1456 728) + (pt 1456 792) ) (connector - (pt 928 96) - (pt 1184 96) + (pt 1472 784) + (pt 1472 848) ) (connector - (pt 928 96) - (pt 928 144) + (pt 1472 848) + (pt 1472 912) ) (connector - (pt 928 144) - (pt 928 208) + (pt 1456 792) + (pt 1456 856) ) (connector - (pt 1184 96) - (pt 1184 144) + (pt 1456 856) + (pt 1456 920) ) (connector - (pt 1184 144) - (pt 1184 208) + (pt 1472 144) + (pt 1488 144) ) (connector - (pt 1184 208) - (pt 1184 272) + (pt 1456 152) + (pt 1488 152) ) (connector - (pt 1184 272) - (pt 1184 336) + (text "en_in[12]" (rect 1397 152 1441 164)(font "Arial" )) + (pt 1440 160) + (pt 1488 160) ) (connector - (pt 1184 336) - (pt 1184 400) + (pt 1472 208) + (pt 1488 208) ) (connector - (pt 1184 400) - (pt 1184 464) + (pt 1456 216) + (pt 1488 216) ) (connector - (pt 1184 464) - (pt 1184 528) + (text "en_in[11]" (rect 1397 216 1441 228)(font "Arial" )) + (pt 1440 224) + (pt 1488 224) ) (connector - (pt 1184 528) - (pt 1184 592) + (pt 1472 272) + (pt 1488 272) ) (connector - (pt 1184 592) - (pt 1184 656) + (pt 1456 280) + (pt 1488 280) ) (connector - (pt 1184 656) - (pt 1184 720) + (text "en_in[10]" (rect 1397 280 1441 292)(font "Arial" )) + (pt 1440 288) + (pt 1488 288) ) (connector - (pt 1184 720) - (pt 1184 784) + (pt 1472 336) + (pt 1488 336) ) (connector - (pt 1184 784) - (pt 1184 848) + (pt 1456 344) + (pt 1488 344) ) (connector - (pt 1184 848) - (pt 1184 912) + (text "en_in[9]" (rect 1397 344 1435 356)(font "Arial" )) + (pt 1440 352) + (pt 1488 352) ) (connector - (pt 656 120) - (pt 912 120) + (pt 1472 400) + (pt 1488 400) ) (connector - (pt 912 120) - (pt 1168 120) + (pt 1456 408) + (pt 1488 408) ) (connector - (pt 1200 920) - (pt 1168 920) + (text "en_in[8]" (rect 1397 408 1435 420)(font "Arial" )) + (pt 1440 416) + (pt 1488 416) ) (connector - (pt 1200 856) - (pt 1168 856) + (pt 1472 464) + (pt 1488 464) ) (connector - (pt 1168 856) - (pt 1168 920) + (pt 1456 472) + (pt 1488 472) ) (connector - (pt 1200 792) - (pt 1168 792) + (text "en_in[7]" (rect 1397 472 1435 484)(font "Arial" )) + (pt 1440 480) + (pt 1488 480) ) (connector - (pt 1168 792) - (pt 1168 856) + (pt 1472 528) + (pt 1488 528) ) (connector - (pt 1200 728) - (pt 1168 728) + (pt 1456 536) + (pt 1488 536) ) (connector - (pt 1168 728) - (pt 1168 792) + (text "en_in[6]" (rect 1397 536 1435 548)(font "Arial" )) + (pt 1440 544) + (pt 1488 544) ) (connector - (pt 1200 664) - (pt 1168 664) + (pt 1472 592) + (pt 1488 592) ) (connector - (pt 1168 664) - (pt 1168 728) + (pt 1456 600) + (pt 1488 600) ) (connector - (pt 1200 600) - (pt 1168 600) + (text "en_in[5]" (rect 1397 600 1435 612)(font "Arial" )) + (pt 1440 608) + (pt 1488 608) ) (connector - (pt 1168 600) - (pt 1168 664) + (pt 1472 656) + (pt 1488 656) ) (connector - (pt 1200 536) - (pt 1168 536) + (pt 1456 664) + (pt 1488 664) ) (connector - (pt 1168 536) - (pt 1168 600) + (text "en_in[4]" (rect 1397 664 1435 676)(font "Arial" )) + (pt 1440 672) + (pt 1488 672) ) (connector - (pt 1200 472) - (pt 1168 472) + (pt 1472 720) + (pt 1488 720) ) (connector - (pt 1168 472) - (pt 1168 536) + (pt 1456 728) + (pt 1488 728) ) (connector - (pt 1200 408) - (pt 1168 408) + (text "en_in[3]" (rect 1397 728 1435 740)(font "Arial" )) + (pt 1440 736) + (pt 1488 736) ) (connector - (pt 1168 408) - (pt 1168 472) + (pt 1472 784) + (pt 1488 784) ) (connector - (pt 1200 344) - (pt 1168 344) + (pt 1456 792) + (pt 1488 792) ) (connector - (pt 1168 344) - (pt 1168 408) + (text "en_in[2]" (rect 1397 792 1435 804)(font "Arial" )) + (pt 1440 800) + (pt 1488 800) ) (connector - (pt 1200 280) - (pt 1168 280) + (pt 1472 848) + (pt 1488 848) ) (connector - (pt 1168 280) - (pt 1168 344) + (pt 1456 856) + (pt 1488 856) ) (connector - (pt 1200 216) - (pt 1168 216) + (text "en_in[1]" (rect 1397 856 1435 868)(font "Arial" )) + (pt 1440 864) + (pt 1488 864) +) +(connector + (pt 1472 912) + (pt 1488 912) +) +(connector + (pt 1456 920) + (pt 1488 920) ) (connector - (pt 1168 216) - (pt 1168 280) + (text "en_in[0]" (rect 1397 920 1435 932)(font "Arial" )) + (pt 1440 928) + (pt 1488 928) ) (connector (pt 1200 152) - (pt 1168 152) + (pt 1248 152) ) (connector - (pt 1168 120) - (pt 1168 152) + (text "push" (rect 1208 160 1231 172)(font "Arial" )) + (pt 1248 168) + (pt 1232 168) ) (connector - (pt 1168 152) - (pt 1168 216) + (pt 1200 216) + (pt 1248 216) ) (connector - (pt 944 152) - (pt 912 152) + (text "push" (rect 1208 224 1231 236)(font "Arial" )) + (pt 1248 232) + (pt 1232 232) ) (connector - (pt 912 120) - (pt 912 152) + (pt 1200 280) + (pt 1248 280) ) (connector - (pt 944 216) - (pt 912 216) + (text "push" (rect 1208 288 1231 300)(font "Arial" )) + (pt 1248 296) + (pt 1232 296) ) (connector - (pt 912 152) - (pt 912 216) + (pt 1200 344) + (pt 1248 344) ) (connector - (pt 944 280) - (pt 912 280) + (text "push" (rect 1208 352 1231 364)(font "Arial" )) + (pt 1248 360) + (pt 1232 360) ) (connector - (pt 912 216) - (pt 912 280) + (pt 1200 408) + (pt 1248 408) ) (connector - (pt 944 344) - (pt 912 344) + (text "push" (rect 1208 416 1231 428)(font "Arial" )) + (pt 1248 424) + (pt 1232 424) ) (connector - (pt 912 280) - (pt 912 344) + (pt 1200 472) + (pt 1248 472) ) (connector - (pt 944 408) - (pt 912 408) + (text "push" (rect 1208 480 1231 492)(font "Arial" )) + (pt 1248 488) + (pt 1232 488) ) (connector - (pt 912 344) - (pt 912 408) + (pt 1200 536) + (pt 1248 536) ) (connector - (pt 944 472) - (pt 912 472) + (text "push" (rect 1208 544 1231 556)(font "Arial" )) + (pt 1248 552) + (pt 1232 552) ) (connector - (pt 912 408) - (pt 912 472) + (pt 1200 600) + (pt 1248 600) ) (connector - (pt 944 536) - (pt 912 536) + (text "push" (rect 1208 608 1231 620)(font "Arial" )) + (pt 1248 616) + (pt 1232 616) ) (connector - (pt 912 472) - (pt 912 536) + (pt 1200 664) + (pt 1248 664) ) (connector - (pt 944 600) - (pt 912 600) + (text "push" (rect 1208 672 1231 684)(font "Arial" )) + (pt 1248 680) + (pt 1232 680) ) (connector - (pt 912 536) - (pt 912 600) + (pt 1200 728) + (pt 1248 728) ) (connector - (pt 944 664) - (pt 912 664) + (text "push" (rect 1208 736 1231 748)(font "Arial" )) + (pt 1248 744) + (pt 1232 744) ) (connector - (pt 912 600) - (pt 912 664) + (pt 1200 792) + (pt 1248 792) ) (connector - (pt 944 728) - (pt 912 728) + (text "push" (rect 1208 800 1231 812)(font "Arial" )) + (pt 1248 808) + (pt 1232 808) ) (connector - (pt 912 664) - (pt 912 728) + (pt 1200 856) + (pt 1248 856) ) (connector - (pt 944 792) - (pt 912 792) + (text "push" (rect 1208 864 1231 876)(font "Arial" )) + (pt 1248 872) + (pt 1232 872) ) (connector - (pt 912 728) - (pt 912 792) + (pt 1200 920) + (pt 1248 920) ) (connector - (pt 944 856) - (pt 912 856) + (text "push" (rect 1208 928 1231 940)(font "Arial" )) + (pt 1248 936) + (pt 1232 936) ) (connector - (pt 912 792) - (pt 912 856) + (pt 400 120) + (pt 752 120) ) (connector - (pt 912 856) - (pt 912 920) + (text "enable" (rect 296 112 327 124)(font "Arial" )) + (pt 328 120) + (pt 400 120) ) (junction (pt 416 208)) (junction (pt 416 272)) @@ -3551,80 +5205,80 @@ applicable agreement for further details. (junction (pt 400 792)) (junction (pt 400 856)) (junction (pt 416 96)) +(junction (pt 768 96)) +(junction (pt 752 120)) +(junction (pt 768 144)) +(junction (pt 752 152)) +(junction (pt 768 208)) +(junction (pt 752 216)) +(junction (pt 768 272)) +(junction (pt 752 280)) +(junction (pt 768 336)) +(junction (pt 752 344)) +(junction (pt 768 400)) +(junction (pt 752 408)) +(junction (pt 768 464)) +(junction (pt 752 472)) +(junction (pt 768 528)) +(junction (pt 752 536)) +(junction (pt 768 592)) +(junction (pt 752 600)) +(junction (pt 768 656)) +(junction (pt 752 664)) +(junction (pt 768 720)) +(junction (pt 752 728)) +(junction (pt 768 784)) +(junction (pt 752 792)) +(junction (pt 768 848)) +(junction (pt 752 856)) +(junction (pt 1120 144)) +(junction (pt 1120 96)) +(junction (pt 1104 152)) +(junction (pt 1104 120)) +(junction (pt 1120 208)) +(junction (pt 1104 216)) +(junction (pt 1120 272)) +(junction (pt 1104 280)) +(junction (pt 1120 336)) +(junction (pt 1104 344)) +(junction (pt 1120 400)) +(junction (pt 1104 408)) +(junction (pt 1120 464)) +(junction (pt 1104 472)) +(junction (pt 1120 528)) +(junction (pt 1104 536)) +(junction (pt 1120 592)) +(junction (pt 1104 600)) +(junction (pt 1120 656)) +(junction (pt 1104 664)) +(junction (pt 1120 720)) +(junction (pt 1104 728)) +(junction (pt 1120 784)) +(junction (pt 1104 792)) +(junction (pt 1120 848)) +(junction (pt 1104 856)) +(junction (pt 1472 144)) +(junction (pt 1456 152)) +(junction (pt 1472 208)) +(junction (pt 1456 216)) +(junction (pt 1472 272)) +(junction (pt 1456 280)) +(junction (pt 1472 336)) +(junction (pt 1456 344)) +(junction (pt 1472 400)) +(junction (pt 1456 408)) +(junction (pt 1472 464)) +(junction (pt 1456 472)) +(junction (pt 1472 528)) +(junction (pt 1456 536)) +(junction (pt 1472 592)) +(junction (pt 1456 600)) +(junction (pt 1472 656)) +(junction (pt 1456 664)) +(junction (pt 1472 720)) +(junction (pt 1456 728)) +(junction (pt 1472 784)) +(junction (pt 1456 792)) +(junction (pt 1472 848)) +(junction (pt 1456 856)) (junction (pt 400 120)) -(junction (pt 672 592)) -(junction (pt 672 656)) -(junction (pt 672 720)) -(junction (pt 672 784)) -(junction (pt 672 848)) -(junction (pt 672 144)) -(junction (pt 672 208)) -(junction (pt 672 272)) -(junction (pt 672 336)) -(junction (pt 672 400)) -(junction (pt 672 464)) -(junction (pt 672 528)) -(junction (pt 656 856)) -(junction (pt 656 792)) -(junction (pt 656 728)) -(junction (pt 656 664)) -(junction (pt 656 600)) -(junction (pt 656 536)) -(junction (pt 656 152)) -(junction (pt 656 216)) -(junction (pt 656 280)) -(junction (pt 656 344)) -(junction (pt 656 408)) -(junction (pt 656 472)) -(junction (pt 672 96)) -(junction (pt 928 144)) -(junction (pt 928 208)) -(junction (pt 928 272)) -(junction (pt 928 336)) -(junction (pt 928 400)) -(junction (pt 928 464)) -(junction (pt 928 528)) -(junction (pt 928 592)) -(junction (pt 928 656)) -(junction (pt 928 720)) -(junction (pt 928 784)) -(junction (pt 928 848)) -(junction (pt 656 120)) -(junction (pt 912 120)) -(junction (pt 928 96)) -(junction (pt 1184 144)) -(junction (pt 1184 208)) -(junction (pt 1184 272)) -(junction (pt 1184 336)) -(junction (pt 1184 400)) -(junction (pt 1184 464)) -(junction (pt 1184 528)) -(junction (pt 1184 592)) -(junction (pt 1184 656)) -(junction (pt 1184 720)) -(junction (pt 1184 784)) -(junction (pt 1184 848)) -(junction (pt 1168 856)) -(junction (pt 1168 792)) -(junction (pt 1168 728)) -(junction (pt 1168 664)) -(junction (pt 1168 600)) -(junction (pt 1168 536)) -(junction (pt 1168 472)) -(junction (pt 1168 408)) -(junction (pt 1168 344)) -(junction (pt 1168 280)) -(junction (pt 1168 216)) -(junction (pt 1168 152)) -(junction (pt 912 152)) -(junction (pt 912 216)) -(junction (pt 912 280)) -(junction (pt 912 344)) -(junction (pt 912 408)) -(junction (pt 912 472)) -(junction (pt 912 536)) -(junction (pt 912 600)) -(junction (pt 912 664)) -(junction (pt 912 728)) -(junction (pt 912 792)) -(junction (pt 912 856)) diff --git a/lab3/gA6_ff_enable52.bsf b/lab3/gA6_ff_enable52.bsf index 359d017..4d91b3f 100644 --- a/lab3/gA6_ff_enable52.bsf +++ b/lab3/gA6_ff_enable52.bsf @@ -20,9 +20,9 @@ applicable agreement for further details. */ (header "symbol" (version "1.2")) (symbol - (rect 16 16 208 112) + (rect 16 16 208 144) (text "gA6_ff_enable52" (rect 5 0 104 14)(font "Arial" (font_size 8))) - (text "inst" (rect 8 80 25 92)(font "Arial" )) + (text "inst" (rect 8 112 25 124)(font "Arial" )) (port (pt 0 32) (input) @@ -33,9 +33,9 @@ applicable agreement for further details. (port (pt 0 48) (input) - (text "en_in[51..0]" (rect 0 0 66 14)(font "Arial" (font_size 8))) - (text "en_in[51..0]" (rect 21 43 87 57)(font "Arial" (font_size 8))) - (line (pt 0 48)(pt 16 48)(line_width 3)) + (text "push" (rect 0 0 28 14)(font "Arial" (font_size 8))) + (text "push" (rect 21 43 49 57)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) @@ -44,6 +44,13 @@ applicable agreement for further details. (text "enable" (rect 21 59 58 73)(font "Arial" (font_size 8))) (line (pt 0 64)(pt 16 64)) ) + (port + (pt 0 80) + (input) + (text "en_in[51..0]" (rect 0 0 66 14)(font "Arial" (font_size 8))) + (text "en_in[51..0]" (rect 21 75 87 89)(font "Arial" (font_size 8))) + (line (pt 0 80)(pt 16 80)(line_width 3)) + ) (port (pt 192 32) (output) @@ -52,6 +59,6 @@ applicable agreement for further details. (line (pt 192 32)(pt 176 32)(line_width 3)) ) (drawing - (rectangle (rect 16 16 176 80)) + (rectangle (rect 16 16 176 112)) ) ) diff --git a/lab3/gA6_lab3.qws b/lab3/gA6_lab3.qws index f762bb4..a52fcda 100644 Binary files a/lab3/gA6_lab3.qws and b/lab3/gA6_lab3.qws differ diff --git a/lab3/gA6_stack52.bdf b/lab3/gA6_stack52.bdf index 0e04218..e8672d1 100644 --- a/lab3/gA6_stack52.bdf +++ b/lab3/gA6_stack52.bdf @@ -9513,42 +9513,6 @@ applicable agreement for further details. ) (rotate270) ) -(symbol - (rect -128 1288 64 1384) - (text "gA6_ff_enable52" (rect 5 0 104 14)(font "Arial" (font_size 8))) - (text "inst124" (rect 8 80 43 92)(font "Arial" )) - (port - (pt 0 32) - (input) - (text "nop" (rect 0 0 21 14)(font "Arial" (font_size 8))) - (text "nop" (rect 21 27 42 41)(font "Arial" (font_size 8))) - (line (pt 0 32)(pt 16 32)) - ) - (port - (pt 0 48) - (input) - (text "en_in[51..0]" (rect 0 0 66 14)(font "Arial" (font_size 8))) - (text "en_in[51..0]" (rect 21 43 87 57)(font "Arial" (font_size 8))) - (line (pt 0 48)(pt 16 48)(line_width 3)) - ) - (port - (pt 0 64) - (input) - (text "enable" (rect 0 0 37 14)(font "Arial" (font_size 8))) - (text "enable" (rect 21 59 58 73)(font "Arial" (font_size 8))) - (line (pt 0 64)(pt 16 64)) - ) - (port - (pt 192 32) - (output) - (text "en_out[51..0]" (rect 0 0 74 14)(font "Arial" (font_size 8))) - (text "en_out[51..0]" (rect 97 27 171 41)(font "Arial" (font_size 8))) - (line (pt 192 32)(pt 176 32)(line_width 3)) - ) - (drawing - (rectangle (rect 16 16 176 80)) - ) -) (symbol (rect -88 536 -24 584) (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) @@ -9750,6 +9714,49 @@ applicable agreement for further details. (circle (rect 31 12 39 20)) ) ) +(symbol + (rect -128 1288 64 1416) + (text "gA6_ff_enable52" (rect 5 0 104 14)(font "Arial" (font_size 8))) + (text "inst124" (rect 8 112 43 124)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "nop" (rect 0 0 21 14)(font "Arial" (font_size 8))) + (text "nop" (rect 21 27 42 41)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "push" (rect 0 0 28 14)(font "Arial" (font_size 8))) + (text "push" (rect 21 43 49 57)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "enable" (rect 0 0 37 14)(font "Arial" (font_size 8))) + (text "enable" (rect 21 59 58 73)(font "Arial" (font_size 8))) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "en_in[51..0]" (rect 0 0 66 14)(font "Arial" (font_size 8))) + (text "en_in[51..0]" (rect 21 75 87 89)(font "Arial" (font_size 8))) + (line (pt 0 80)(pt 16 80)(line_width 3)) + ) + (port + (pt 192 32) + (output) + (text "en_out[51..0]" (rect 0 0 74 14)(font "Arial" (font_size 8))) + (text "en_out[51..0]" (rect 97 27 171 41)(font "Arial" (font_size 8))) + (line (pt 192 32)(pt 176 32)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 176 112)) + ) +) (connector (pt 544 536) (pt 584 536) @@ -15206,43 +15213,12 @@ applicable agreement for further details. (pt 120 1272) (bus) ) -(connector - (pt 120 1272) - (pt -184 1272) - (bus) -) -(connector - (pt -184 1272) - (pt -184 1336) - (bus) -) -(connector - (pt -128 1336) - (pt -184 1336) - (bus) -) -(connector - (text "nop" (rect -175 1312 -158 1324)(font "Arial" )) - (pt -152 1320) - (pt -128 1320) -) -(connector - (text "en_out[51..0]" (rect 72 1304 136 1316)(font "Arial" )) - (pt 64 1320) - (pt 120 1320) - (bus) -) (connector (text "G, G, G, G, G, G" (rect -174 1080 -90 1092)(font "Arial" )) (pt -96 1088) (pt -64 1088) (bus) ) -(connector - (text "enable" (rect -183 1344 -152 1356)(font "Arial" )) - (pt -128 1352) - (pt -152 1352) -) (connector (text "cnt_en" (rect -133 808 -100 820)(font "Arial" )) (pt -72 816) @@ -15303,6 +15279,42 @@ applicable agreement for further details. (pt -8 600) (pt 0 600) ) +(connector + (text "en_out[51..0]" (rect 72 1304 136 1316)(font "Arial" )) + (pt 64 1320) + (pt 120 1320) + (bus) +) +(connector + (text "nop" (rect -181 1312 -164 1324)(font "Arial" )) + (pt -157 1320) + (pt -128 1320) +) +(connector + (text "push" (rect -188 1328 -165 1340)(font "Arial" )) + (pt -157 1336) + (pt -128 1336) +) +(connector + (text "enable" (rect -192 1344 -161 1356)(font "Arial" )) + (pt -157 1352) + (pt -128 1352) +) +(connector + (pt 120 1272) + (pt -200 1272) + (bus) +) +(connector + (pt -200 1272) + (pt -200 1368) + (bus) +) +(connector + (pt -128 1368) + (pt -200 1368) + (bus) +) (junction (pt 784 552)) (junction (pt 784 1000)) (junction (pt 784 776)) diff --git a/lab3/gA6_testbed.bdf b/lab3/gA6_testbed.bdf index 630d5a0..7b5309f 100644 --- a/lab3/gA6_testbed.bdf +++ b/lab3/gA6_testbed.bdf @@ -243,84 +243,6 @@ applicable agreement for further details. (line (pt 78 12)(pt 82 8)) ) ) -(symbol - (rect 528 304 704 464) - (text "gA6_stack52" (rect 5 0 80 14)(font "Arial" (font_size 8))) - (text "inst4" (rect 8 144 31 156)(font "Arial" )) - (port - (pt 0 32) - (input) - (text "data[5..0]" (rect 0 0 53 14)(font "Arial" (font_size 8))) - (text "data[5..0]" (rect 21 27 74 41)(font "Arial" (font_size 8))) - (line (pt 0 32)(pt 16 32)(line_width 3)) - ) - (port - (pt 0 48) - (input) - (text "mode[1..0]" (rect 0 0 59 14)(font "Arial" (font_size 8))) - (text "mode[1..0]" (rect 21 43 80 57)(font "Arial" (font_size 8))) - (line (pt 0 48)(pt 16 48)(line_width 3)) - ) - (port - (pt 0 64) - (input) - (text "addr[5..0]" (rect 0 0 54 14)(font "Arial" (font_size 8))) - (text "addr[5..0]" (rect 21 59 75 73)(font "Arial" (font_size 8))) - (line (pt 0 64)(pt 16 64)(line_width 3)) - ) - (port - (pt 0 80) - (input) - (text "enable" (rect 0 0 37 14)(font "Arial" (font_size 8))) - (text "enable" (rect 21 75 58 89)(font "Arial" (font_size 8))) - (line (pt 0 80)(pt 16 80)) - ) - (port - (pt 0 96) - (input) - (text "rst" (rect 0 0 15 14)(font "Arial" (font_size 8))) - (text "rst" (rect 21 91 36 105)(font "Arial" (font_size 8))) - (line (pt 0 96)(pt 16 96)) - ) - (port - (pt 0 112) - (input) - (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) - (text "clk" (rect 21 107 36 121)(font "Arial" (font_size 8))) - (line (pt 0 112)(pt 16 112)) - ) - (port - (pt 176 32) - (output) - (text "value[5..0]" (rect 0 0 59 14)(font "Arial" (font_size 8))) - (text "value[5..0]" (rect 96 27 155 41)(font "Arial" (font_size 8))) - (line (pt 176 32)(pt 160 32)(line_width 3)) - ) - (port - (pt 176 48) - (output) - (text "num[5..0]" (rect 0 0 51 14)(font "Arial" (font_size 8))) - (text "num[5..0]" (rect 104 43 155 57)(font "Arial" (font_size 8))) - (line (pt 176 48)(pt 160 48)(line_width 3)) - ) - (port - (pt 176 64) - (output) - (text "empty" (rect 0 0 34 14)(font "Arial" (font_size 8))) - (text "empty" (rect 121 59 155 73)(font "Arial" (font_size 8))) - (line (pt 176 64)(pt 160 64)) - ) - (port - (pt 176 80) - (output) - (text "full" (rect 0 0 16 14)(font "Arial" (font_size 8))) - (text "full" (rect 139 75 155 89)(font "Arial" (font_size 8))) - (line (pt 176 80)(pt 160 80)) - ) - (drawing - (rectangle (rect 16 16 160 144)) - ) -) (symbol (rect 1040 352 1256 432) (text "gA6_7_segment_decoder" (rect 5 0 128 12)(font "Arial" )) @@ -1112,9 +1034,9 @@ applicable agreement for further details. ) ) (symbol - (rect 264 536 400 600) + (rect 544 224 680 288) (text "LPM_CONSTANT" (rect 6 9 127 25)(font "Arial" (font_size 10))) - (text "inst13" (rect 108 48 137 60)(font "Arial" )) + (text "inst3" (rect 108 48 135 62)(font "Arial" (font_size 8))) (port (pt 0 40) (output) @@ -1124,12 +1046,12 @@ applicable agreement for further details. ) (parameter "LPM_CVALUE" - "20000000" + "2" "Unsigned value to which outputs will be set" ) (parameter "LPM_WIDTH" - "21" + "6" "Width of output, any integer > 0" " 1" " 2" " 3" " 4" " 5" " 6" " 7" " 8" " 9" "10" "11" "12" "13" "14" "15" "16" "20" "24" "28" "32" (type "PARAMETER_SIGNED_DEC") ) @@ -1141,7 +1063,135 @@ applicable agreement for further details. (line (pt 96 48)(pt 96 32)) ) (flipy) - (annotation_block (parameter)(rect 32 488 247 530)) + (annotation_block (parameter)(rect 544 144 742 186)) +) +(symbol + (rect 96 608 144 640) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst17" (rect 3 21 32 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 96 144 144 176) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst18" (rect 3 21 32 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 528 304 704 464) + (text "gA6_stack52" (rect 5 0 80 14)(font "Arial" (font_size 8))) + (text "inst4" (rect 8 144 31 156)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "data[5..0]" (rect 0 0 53 14)(font "Arial" (font_size 8))) + (text "data[5..0]" (rect 21 27 74 41)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)(line_width 3)) + ) + (port + (pt 0 48) + (input) + (text "mode[1..0]" (rect 0 0 59 14)(font "Arial" (font_size 8))) + (text "mode[1..0]" (rect 21 43 80 57)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)(line_width 3)) + ) + (port + (pt 0 64) + (input) + (text "addr[5..0]" (rect 0 0 54 14)(font "Arial" (font_size 8))) + (text "addr[5..0]" (rect 21 59 75 73)(font "Arial" (font_size 8))) + (line (pt 0 64)(pt 16 64)(line_width 3)) + ) + (port + (pt 0 80) + (input) + (text "enable" (rect 0 0 37 14)(font "Arial" (font_size 8))) + (text "enable" (rect 21 75 58 89)(font "Arial" (font_size 8))) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 0 96) + (input) + (text "rst" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "rst" (rect 21 91 36 105)(font "Arial" (font_size 8))) + (line (pt 0 96)(pt 16 96)) + ) + (port + (pt 0 112) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 21 107 36 121)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 16 112)) + ) + (port + (pt 176 32) + (output) + (text "value[5..0]" (rect 0 0 59 14)(font "Arial" (font_size 8))) + (text "value[5..0]" (rect 96 27 155 41)(font "Arial" (font_size 8))) + (line (pt 176 32)(pt 160 32)(line_width 3)) + ) + (port + (pt 176 48) + (output) + (text "num[5..0]" (rect 0 0 51 14)(font "Arial" (font_size 8))) + (text "num[5..0]" (rect 104 43 155 57)(font "Arial" (font_size 8))) + (line (pt 176 48)(pt 160 48)(line_width 3)) + ) + (port + (pt 176 64) + (output) + (text "empty" (rect 0 0 34 14)(font "Arial" (font_size 8))) + (text "empty" (rect 121 59 155 73)(font "Arial" (font_size 8))) + (line (pt 176 64)(pt 160 64)) + ) + (port + (pt 176 80) + (output) + (text "full" (rect 0 0 16 14)(font "Arial" (font_size 8))) + (text "full" (rect 139 75 155 89)(font "Arial" (font_size 8))) + (line (pt 176 80)(pt 160 80)) + ) + (drawing + (rectangle (rect 16 16 160 144)) + ) ) (symbol (rect 264 184 400 248) @@ -1156,7 +1206,7 @@ applicable agreement for further details. ) (parameter "LPM_CVALUE" - "20000000" + "2000" "Unsigned value to which outputs will be set" ) (parameter @@ -1173,12 +1223,12 @@ applicable agreement for further details. (line (pt 96 16)(pt 96 32)) ) (rotate180) - (annotation_block (parameter)(rect 32 136 247 178)) + (annotation_block (parameter)(rect 32 136 230 178)) ) (symbol - (rect 544 224 680 288) + (rect 264 536 400 600) (text "LPM_CONSTANT" (rect 6 9 127 25)(font "Arial" (font_size 10))) - (text "inst3" (rect 108 48 135 62)(font "Arial" (font_size 8))) + (text "inst13" (rect 108 48 137 60)(font "Arial" )) (port (pt 0 40) (output) @@ -1188,12 +1238,12 @@ applicable agreement for further details. ) (parameter "LPM_CVALUE" - "2" + "2000" "Unsigned value to which outputs will be set" ) (parameter "LPM_WIDTH" - "6" + "21" "Width of output, any integer > 0" " 1" " 2" " 3" " 4" " 5" " 6" " 7" " 8" " 9" "10" "11" "12" "13" "14" "15" "16" "20" "24" "28" "32" (type "PARAMETER_SIGNED_DEC") ) @@ -1205,57 +1255,7 @@ applicable agreement for further details. (line (pt 96 48)(pt 96 32)) ) (flipy) - (annotation_block (parameter)(rect 544 144 742 186)) -) -(symbol - (rect 96 608 144 640) - (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) - (text "inst17" (rect 3 21 32 33)(font "Arial" )) - (port - (pt 0 16) - (input) - (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) - (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 13 16)) - ) - (port - (pt 48 16) - (output) - (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) - (line (pt 39 16)(pt 48 16)) - ) - (drawing - (line (pt 13 25)(pt 13 7)) - (line (pt 13 7)(pt 31 16)) - (line (pt 13 25)(pt 31 16)) - (circle (rect 31 12 39 20)) - ) -) -(symbol - (rect 96 144 144 176) - (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) - (text "inst18" (rect 3 21 32 33)(font "Arial" )) - (port - (pt 0 16) - (input) - (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) - (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 13 16)) - ) - (port - (pt 48 16) - (output) - (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) - (line (pt 39 16)(pt 48 16)) - ) - (drawing - (line (pt 13 25)(pt 13 7)) - (line (pt 13 7)(pt 31 16)) - (line (pt 13 25)(pt 31 16)) - (circle (rect 31 12 39 20)) - ) + (annotation_block (parameter)(rect 32 488 230 530)) ) (connector (text "G" (rect 894 48 902 60)(font "Arial" )) diff --git a/lab3/incremental_db/compiled_partitions/gA6_lab3.autoh_e40e1.map.cdb b/lab3/incremental_db/compiled_partitions/gA6_lab3.autoh_e40e1.map.cdb index 319ee5a..f451a25 100644 Binary files a/lab3/incremental_db/compiled_partitions/gA6_lab3.autoh_e40e1.map.cdb and b/lab3/incremental_db/compiled_partitions/gA6_lab3.autoh_e40e1.map.cdb differ diff --git a/lab3/incremental_db/compiled_partitions/gA6_lab3.autoh_e40e1.map.dpi b/lab3/incremental_db/compiled_partitions/gA6_lab3.autoh_e40e1.map.dpi index 327ece8..c55b9e0 100644 Binary files a/lab3/incremental_db/compiled_partitions/gA6_lab3.autoh_e40e1.map.dpi and b/lab3/incremental_db/compiled_partitions/gA6_lab3.autoh_e40e1.map.dpi differ diff --git a/lab3/incremental_db/compiled_partitions/gA6_lab3.autoh_e40e1.map.hdb b/lab3/incremental_db/compiled_partitions/gA6_lab3.autoh_e40e1.map.hdb index a94eac2..878c246 100644 Binary files a/lab3/incremental_db/compiled_partitions/gA6_lab3.autoh_e40e1.map.hdb and b/lab3/incremental_db/compiled_partitions/gA6_lab3.autoh_e40e1.map.hdb differ diff --git a/lab3/incremental_db/compiled_partitions/gA6_lab3.autoh_e40e1.map.kpt b/lab3/incremental_db/compiled_partitions/gA6_lab3.autoh_e40e1.map.kpt index 82615b3..26154c7 100644 Binary files a/lab3/incremental_db/compiled_partitions/gA6_lab3.autoh_e40e1.map.kpt and b/lab3/incremental_db/compiled_partitions/gA6_lab3.autoh_e40e1.map.kpt differ diff --git a/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.cmp.ammdb b/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.cmp.ammdb index 348a275..171ee5a 100644 Binary files a/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.cmp.ammdb and b/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.cmp.ammdb differ diff --git a/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.cmp.cdb b/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.cmp.cdb index 04b704d..80cfef8 100644 Binary files a/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.cmp.cdb and b/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.cmp.cdb differ diff --git a/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.cmp.hdb b/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.cmp.hdb index 6b96138..a7d95eb 100644 Binary files a/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.cmp.hdb and b/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.cmp.hdb differ diff --git a/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.cmp.rcfdb b/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.cmp.rcfdb index 4b32ea8..370e6d4 100644 Binary files a/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.cmp.rcfdb and b/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.cmp.rcfdb differ diff --git a/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.map.cdb b/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.map.cdb index 88adcdf..9e1feb4 100644 Binary files a/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.map.cdb and b/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.map.cdb differ diff --git a/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.map.dpi b/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.map.dpi index 79a9b8f..6e5f415 100644 Binary files a/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.map.dpi and b/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.map.dpi differ diff --git a/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.map.hbdb.cdb b/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.map.hbdb.cdb index be5cbab..abace1f 100644 Binary files a/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.map.hbdb.cdb and b/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.map.hbdb.cdb differ diff --git a/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.map.hbdb.hdb b/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.map.hbdb.hdb index 39f3fa7..a3055a2 100644 Binary files a/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.map.hbdb.hdb and b/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.map.hbdb.hdb differ diff --git a/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.map.hdb b/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.map.hdb index 3d9cbfd..aea7064 100644 Binary files a/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.map.hdb and b/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.map.hdb differ diff --git a/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.map.kpt b/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.map.kpt index bad48a8..b166c4e 100644 Binary files a/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.map.kpt and b/lab3/incremental_db/compiled_partitions/gA6_lab3.root_partition.map.kpt differ diff --git a/lab3/output_files/gA6_lab3.asm.rpt b/lab3/output_files/gA6_lab3.asm.rpt index 5c00dd6..753127f 100644 --- a/lab3/output_files/gA6_lab3.asm.rpt +++ b/lab3/output_files/gA6_lab3.asm.rpt @@ -1,5 +1,5 @@ Assembler report for gA6_lab3 -Wed Nov 01 22:48:50 2017 +Thu Nov 02 02:55:26 2017 Quartus II 64-Bit Version 13.0.0 Build 156 04/24/2013 SJ Web Edition @@ -39,7 +39,7 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Wed Nov 01 22:48:50 2017 ; +; Assembler Status ; Successful - Thu Nov 02 02:55:26 2017 ; ; Revision Name ; gA6_lab3 ; ; Top-level Entity Name ; gA6_lab3 ; ; Family ; Cyclone II ; @@ -105,8 +105,8 @@ applicable agreement for further details. ; Option ; Setting ; +----------------+--------------------------------------------------------------+ ; Device ; EP2C20F484C7 ; -; JTAG usercode ; 0x002BCE97 ; -; Checksum ; 0x002BCE97 ; +; JTAG usercode ; 0x002E3C42 ; +; Checksum ; 0x002E3C42 ; +----------------+--------------------------------------------------------------+ @@ -117,7 +117,7 @@ applicable agreement for further details. +--------------------+----------------------------------------------------------+ ; Device ; EPCS16 ; ; JTAG usercode ; 0x00000000 ; -; Checksum ; 0x1DC176BD ; +; Checksum ; 0x1DB9F542 ; ; Compression Ratio ; 2 ; +--------------------+----------------------------------------------------------+ @@ -128,14 +128,14 @@ applicable agreement for further details. Info: ******************************************************************* Info: Running Quartus II 64-Bit Assembler Info: Version 13.0.0 Build 156 04/24/2013 SJ Web Edition - Info: Processing started: Wed Nov 01 22:48:48 2017 + Info: Processing started: Thu Nov 02 02:55:21 2017 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off gA6_lab3 -c gA6_lab3 Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 503 megabytes - Info: Processing ended: Wed Nov 01 22:48:50 2017 - Info: Elapsed time: 00:00:02 - Info: Total CPU time (on all processors): 00:00:02 + Info: Peak virtual memory: 515 megabytes + Info: Processing ended: Thu Nov 02 02:55:26 2017 + Info: Elapsed time: 00:00:05 + Info: Total CPU time (on all processors): 00:00:05 diff --git a/lab3/output_files/gA6_lab3.done b/lab3/output_files/gA6_lab3.done index 5e7f91e..19652b7 100644 --- a/lab3/output_files/gA6_lab3.done +++ b/lab3/output_files/gA6_lab3.done @@ -1 +1 @@ -Wed Nov 01 22:48:56 2017 +Thu Nov 02 02:55:40 2017 diff --git a/lab3/output_files/gA6_lab3.eda.rpt b/lab3/output_files/gA6_lab3.eda.rpt index 7fb76fc..ad19f4f 100644 --- a/lab3/output_files/gA6_lab3.eda.rpt +++ b/lab3/output_files/gA6_lab3.eda.rpt @@ -1,5 +1,5 @@ EDA Netlist Writer report for gA6_lab3 -Wed Nov 01 22:48:55 2017 +Thu Nov 02 02:55:39 2017 Quartus II 64-Bit Version 13.0.0 Build 156 04/24/2013 SJ Web Edition @@ -36,7 +36,7 @@ applicable agreement for further details. +-------------------------------------------------------------------+ ; EDA Netlist Writer Summary ; +---------------------------+---------------------------------------+ -; EDA Netlist Writer Status ; Successful - Wed Nov 01 22:48:55 2017 ; +; EDA Netlist Writer Status ; Successful - Thu Nov 02 02:55:39 2017 ; ; Revision Name ; gA6_lab3 ; ; Top-level Entity Name ; gA6_lab3 ; ; Family ; Cyclone II ; @@ -80,13 +80,13 @@ applicable agreement for further details. Info: ******************************************************************* Info: Running Quartus II 64-Bit EDA Netlist Writer Info: Version 13.0.0 Build 156 04/24/2013 SJ Web Edition - Info: Processing started: Wed Nov 01 22:48:54 2017 + Info: Processing started: Thu Nov 02 02:55:36 2017 Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off gA6_lab3 -c gA6_lab3 Info (204019): Generated file gA6_lab3.vo in folder "C:/home/abbas/dsd_A6/lab3/simulation/modelsim/" for EDA simulation tool Info: Quartus II 64-Bit EDA Netlist Writer was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 483 megabytes - Info: Processing ended: Wed Nov 01 22:48:55 2017 - Info: Elapsed time: 00:00:01 - Info: Total CPU time (on all processors): 00:00:01 + Info: Peak virtual memory: 484 megabytes + Info: Processing ended: Thu Nov 02 02:55:39 2017 + Info: Elapsed time: 00:00:03 + Info: Total CPU time (on all processors): 00:00:03 diff --git a/lab3/output_files/gA6_lab3.fit.rpt b/lab3/output_files/gA6_lab3.fit.rpt index 2c4c539..9c02621 100644 --- a/lab3/output_files/gA6_lab3.fit.rpt +++ b/lab3/output_files/gA6_lab3.fit.rpt @@ -1,5 +1,5 @@ Fitter report for gA6_lab3 -Wed Nov 01 22:48:46 2017 +Thu Nov 02 02:55:14 2017 Quartus II 64-Bit Version 13.0.0 Build 156 04/24/2013 SJ Web Edition @@ -63,15 +63,15 @@ applicable agreement for further details. +---------------------------------------------------------------------------------+ ; Fitter Summary ; +------------------------------------+--------------------------------------------+ -; Fitter Status ; Successful - Wed Nov 01 22:48:46 2017 ; +; Fitter Status ; Successful - Thu Nov 02 02:55:14 2017 ; ; Quartus II 64-Bit Version ; 13.0.0 Build 156 04/24/2013 SJ Web Edition ; ; Revision Name ; gA6_lab3 ; ; Top-level Entity Name ; gA6_lab3 ; ; Family ; Cyclone II ; ; Device ; EP2C20F484C7 ; ; Timing Models ; Final ; -; Total logic elements ; 1,722 / 18,752 ( 9 % ) ; -; Total combinational functions ; 1,210 / 18,752 ( 6 % ) ; +; Total logic elements ; 2,037 / 18,752 ( 11 % ) ; +; Total combinational functions ; 1,213 / 18,752 ( 6 % ) ; ; Dedicated logic registers ; 1,210 / 18,752 ( 6 % ) ; ; Total registers ; 1210 ; ; Total pins ; 54 / 315 ( 17 % ) ; @@ -141,12 +141,12 @@ applicable agreement for further details. ; Number detected on machine ; 4 ; ; Maximum allowed ; 2 ; ; ; ; -; Average used ; 1.33 ; +; Average used ; 1.16 ; ; Maximum used ; 2 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 33.3% ; +; Processor 2 ; 16.0% ; ; Processors 3-4 ; 0.0% ; +----------------------------+-------------+ @@ -157,8 +157,8 @@ applicable agreement for further details. ; Type ; Value ; +---------------------+------------------------+ ; Placement (by node) ; ; -; -- Requested ; 0 / 2585 ( 0.00 % ) ; -; -- Achieved ; 0 / 2585 ( 0.00 % ) ; +; -- Requested ; 0 / 2588 ( 0.00 % ) ; +; -- Achieved ; 0 / 2588 ( 0.00 % ) ; ; ; ; ; Routing (by net) ; ; ; -- Requested ; 0 / 0 ( 0.00 % ) ; @@ -183,8 +183,8 @@ applicable agreement for further details. +--------------------------------+---------+-------------------+-------------------------+-------------------+ ; Partition Name ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ; +--------------------------------+---------+-------------------+-------------------------+-------------------+ -; Top ; 1213 ; 0 ; N/A ; Source File ; -; sld_hub:auto_hub ; 204 ; 0 ; N/A ; Post-Synthesis ; +; Top ; 1214 ; 0 ; N/A ; Source File ; +; sld_hub:auto_hub ; 206 ; 0 ; N/A ; Post-Synthesis ; ; sld_signaltap:auto_signaltap_0 ; 1165 ; 0 ; N/A ; Post-Synthesis ; ; hard_block:auto_generated_inst ; 3 ; 0 ; N/A ; Source File ; +--------------------------------+---------+-------------------+-------------------------+-------------------+ @@ -201,26 +201,26 @@ The pin-out file can be found in C:/home/abbas/dsd_A6/lab3/output_files/gA6_lab3 +---------------------------------------------+--------------------------+ ; Resource ; Usage ; +---------------------------------------------+--------------------------+ -; Total logic elements ; 1,722 / 18,752 ( 9 % ) ; -; -- Combinational with no register ; 512 ; -; -- Register only ; 512 ; -; -- Combinational with a register ; 698 ; +; Total logic elements ; 2,037 / 18,752 ( 11 % ) ; +; -- Combinational with no register ; 827 ; +; -- Register only ; 824 ; +; -- Combinational with a register ; 386 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 589 ; -; -- 3 input functions ; 458 ; -; -- <=2 input functions ; 163 ; -; -- Register only ; 512 ; +; -- 4 input functions ; 887 ; +; -- 3 input functions ; 175 ; +; -- <=2 input functions ; 151 ; +; -- Register only ; 824 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 1121 ; +; -- normal mode ; 1124 ; ; -- arithmetic mode ; 89 ; ; ; ; ; Total registers* ; 1,210 / 19,649 ( 6 % ) ; ; -- Dedicated logic registers ; 1,210 / 18,752 ( 6 % ) ; ; -- I/O registers ; 0 / 897 ( 0 % ) ; ; ; ; -; Total LABs: partially or completely used ; 133 / 1,172 ( 11 % ) ; +; Total LABs: partially or completely used ; 151 / 1,172 ( 13 % ) ; ; Virtual pins ; 0 ; ; I/O pins ; 54 / 315 ( 17 % ) ; ; -- Clock pins ; 6 / 8 ( 75 % ) ; @@ -235,88 +235,88 @@ The pin-out file can be found in C:/home/abbas/dsd_A6/lab3/output_files/gA6_lab3 ; JTAGs ; 1 / 1 ( 100 % ) ; ; ASMI blocks ; 0 / 1 ( 0 % ) ; ; CRC blocks ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 2% / 2% / 2% ; -; Peak interconnect usage (total/H/V) ; 8% / 9% / 6% ; +; Average interconnect usage (total/H/V) ; 2% / 3% / 2% ; +; Peak interconnect usage (total/H/V) ; 5% / 6% / 5% ; ; Maximum fan-out ; 832 ; ; Highest non-global fan-out ; 459 ; -; Total fan-out ; 8828 ; -; Average fan-out ; 3.12 ; +; Total fan-out ; 9462 ; +; Average fan-out ; 3.00 ; +---------------------------------------------+--------------------------+ * Register count does not include registers inside RAM blocks or DSP blocks. -+-------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Partition Statistics ; -+---------------------------------------------+---------------------+-----------------------+--------------------------------+--------------------------------+ -; Statistic ; Top ; sld_hub:auto_hub ; sld_signaltap:auto_signaltap_0 ; hard_block:auto_generated_inst ; -+---------------------------------------------+---------------------+-----------------------+--------------------------------+--------------------------------+ -; Difficulty Clustering Region ; Low ; Low ; Low ; Low ; -; ; ; ; ; ; -; Total logic elements ; 738 / 18752 ( 4 % ) ; 138 / 18752 ( < 1 % ) ; 846 / 18752 ( 5 % ) ; 0 / 18752 ( 0 % ) ; -; -- Combinational with no register ; 378 ; 52 ; 82 ; 0 ; -; -- Register only ; 0 ; 22 ; 490 ; 0 ; -; -- Combinational with a register ; 360 ; 64 ; 274 ; 0 ; -; ; ; ; ; ; -; Logic element usage by number of LUT inputs ; ; ; ; ; -; -- 4 input functions ; 330 ; 51 ; 208 ; 0 ; -; -- 3 input functions ; 340 ; 29 ; 89 ; 0 ; -; -- <=2 input functions ; 68 ; 36 ; 59 ; 0 ; -; -- Register only ; 0 ; 22 ; 490 ; 0 ; -; ; ; ; ; ; -; Logic elements by mode ; ; ; ; ; -; -- normal mode ; 693 ; 108 ; 320 ; 0 ; -; -- arithmetic mode ; 45 ; 8 ; 36 ; 0 ; -; ; ; ; ; ; -; Total registers ; 360 ; 86 ; 764 ; 0 ; -; -- Dedicated logic registers ; 360 / 18752 ( 2 % ) ; 86 / 18752 ( < 1 % ) ; 764 / 18752 ( 4 % ) ; 0 / 18752 ( 0 % ) ; -; ; ; ; ; ; -; Total LABs: partially or completely used ; 55 / 1172 ( 5 % ) ; 12 / 1172 ( 1 % ) ; 71 / 1172 ( 6 % ) ; 0 / 1172 ( 0 % ) ; -; ; ; ; ; ; -; Virtual pins ; 0 ; 0 ; 0 ; 0 ; -; I/O pins ; 54 ; 0 ; 0 ; 0 ; -; Embedded Multiplier 9-bit elements ; 0 / 52 ( 0 % ) ; 0 / 52 ( 0 % ) ; 0 / 52 ( 0 % ) ; 0 / 52 ( 0 % ) ; -; Total memory bits ; 3328 ; 0 ; 5888 ; 0 ; -; Total RAM block bits ; 9216 ; 0 ; 9216 ; 0 ; -; JTAG ; 1 / 1 ( 100 % ) ; 0 / 1 ( 0 % ) ; 0 / 1 ( 0 % ) ; 0 / 1 ( 0 % ) ; -; M4K ; 2 / 52 ( 3 % ) ; 0 / 52 ( 0 % ) ; 2 / 52 ( 3 % ) ; 0 / 52 ( 0 % ) ; -; Clock control block ; 3 / 20 ( 15 % ) ; 2 / 20 ( 10 % ) ; 1 / 20 ( 5 % ) ; 0 / 20 ( 0 % ) ; -; ; ; ; ; ; -; Connections ; ; ; ; ; -; -- Input Connections ; 1 ; 128 ; 977 ; 0 ; -; -- Registered Input Connections ; 0 ; 96 ; 824 ; 0 ; -; -- Output Connections ; 982 ; 122 ; 2 ; 0 ; -; -- Registered Output Connections ; 12 ; 121 ; 0 ; 0 ; -; ; ; ; ; ; -; Internal Connections ; ; ; ; ; -; -- Total Connections ; 5575 ; 778 ; 3737 ; 0 ; -; -- Registered Connections ; 1049 ; 513 ; 2052 ; 0 ; -; ; ; ; ; ; -; External Connections ; ; ; ; ; -; -- Top ; 0 ; 119 ; 864 ; 0 ; -; -- sld_hub:auto_hub ; 119 ; 16 ; 115 ; 0 ; -; -- sld_signaltap:auto_signaltap_0 ; 864 ; 115 ; 0 ; 0 ; -; -- hard_block:auto_generated_inst ; 0 ; 0 ; 0 ; 0 ; -; ; ; ; ; ; -; Partition Interface ; ; ; ; ; -; -- Input Ports ; 15 ; 19 ; 149 ; 0 ; -; -- Output Ports ; 43 ; 37 ; 102 ; 0 ; -; -- Bidir Ports ; 0 ; 0 ; 0 ; 0 ; -; ; ; ; ; ; -; Registered Ports ; ; ; ; ; -; -- Registered Input Ports ; 0 ; 4 ; 47 ; 0 ; -; -- Registered Output Ports ; 0 ; 26 ; 93 ; 0 ; -; ; ; ; ; ; -; Port Connectivity ; ; ; ; ; -; -- Input Ports driven by GND ; 0 ; 1 ; 2 ; 0 ; -; -- Output Ports driven by GND ; 0 ; 1 ; 0 ; 0 ; -; -- Input Ports driven by VCC ; 0 ; 0 ; 0 ; 0 ; -; -- Output Ports driven by VCC ; 0 ; 0 ; 0 ; 0 ; -; -- Input Ports with no Source ; 0 ; 1 ; 35 ; 0 ; -; -- Output Ports with no Source ; 0 ; 0 ; 0 ; 0 ; -; -- Input Ports with no Fanout ; 0 ; 2 ; 40 ; 0 ; -; -- Output Ports with no Fanout ; 0 ; 15 ; 93 ; 0 ; -+---------------------------------------------+---------------------+-----------------------+--------------------------------+--------------------------------+ ++--------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Partition Statistics ; ++---------------------------------------------+----------------------+-----------------------+--------------------------------+--------------------------------+ +; Statistic ; Top ; sld_hub:auto_hub ; sld_signaltap:auto_signaltap_0 ; hard_block:auto_generated_inst ; ++---------------------------------------------+----------------------+-----------------------+--------------------------------+--------------------------------+ +; Difficulty Clustering Region ; Low ; Low ; Low ; Low ; +; ; ; ; ; ; +; Total logic elements ; 1051 / 18752 ( 6 % ) ; 140 / 18752 ( < 1 % ) ; 846 / 18752 ( 5 % ) ; 0 / 18752 ( 0 % ) ; +; -- Combinational with no register ; 691 ; 54 ; 82 ; 0 ; +; -- Register only ; 312 ; 22 ; 490 ; 0 ; +; -- Combinational with a register ; 48 ; 64 ; 274 ; 0 ; +; ; ; ; ; ; +; Logic element usage by number of LUT inputs ; ; ; ; ; +; -- 4 input functions ; 628 ; 51 ; 208 ; 0 ; +; -- 3 input functions ; 55 ; 31 ; 89 ; 0 ; +; -- <=2 input functions ; 56 ; 36 ; 59 ; 0 ; +; -- Register only ; 312 ; 22 ; 490 ; 0 ; +; ; ; ; ; ; +; Logic elements by mode ; ; ; ; ; +; -- normal mode ; 694 ; 110 ; 320 ; 0 ; +; -- arithmetic mode ; 45 ; 8 ; 36 ; 0 ; +; ; ; ; ; ; +; Total registers ; 360 ; 86 ; 764 ; 0 ; +; -- Dedicated logic registers ; 360 / 18752 ( 2 % ) ; 86 / 18752 ( < 1 % ) ; 764 / 18752 ( 4 % ) ; 0 / 18752 ( 0 % ) ; +; ; ; ; ; ; +; Total LABs: partially or completely used ; 74 / 1172 ( 6 % ) ; 12 / 1172 ( 1 % ) ; 71 / 1172 ( 6 % ) ; 0 / 1172 ( 0 % ) ; +; ; ; ; ; ; +; Virtual pins ; 0 ; 0 ; 0 ; 0 ; +; I/O pins ; 54 ; 0 ; 0 ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 / 52 ( 0 % ) ; 0 / 52 ( 0 % ) ; 0 / 52 ( 0 % ) ; 0 / 52 ( 0 % ) ; +; Total memory bits ; 3328 ; 0 ; 5888 ; 0 ; +; Total RAM block bits ; 9216 ; 0 ; 9216 ; 0 ; +; JTAG ; 1 / 1 ( 100 % ) ; 0 / 1 ( 0 % ) ; 0 / 1 ( 0 % ) ; 0 / 1 ( 0 % ) ; +; M4K ; 2 / 52 ( 3 % ) ; 0 / 52 ( 0 % ) ; 2 / 52 ( 3 % ) ; 0 / 52 ( 0 % ) ; +; Clock control block ; 3 / 20 ( 15 % ) ; 2 / 20 ( 10 % ) ; 1 / 20 ( 5 % ) ; 0 / 20 ( 0 % ) ; +; ; ; ; ; ; +; Connections ; ; ; ; ; +; -- Input Connections ; 1 ; 128 ; 977 ; 0 ; +; -- Registered Input Connections ; 0 ; 96 ; 831 ; 0 ; +; -- Output Connections ; 982 ; 122 ; 2 ; 0 ; +; -- Registered Output Connections ; 12 ; 121 ; 0 ; 0 ; +; ; ; ; ; ; +; Internal Connections ; ; ; ; ; +; -- Total Connections ; 6200 ; 786 ; 3738 ; 0 ; +; -- Registered Connections ; 1049 ; 516 ; 2059 ; 0 ; +; ; ; ; ; ; +; External Connections ; ; ; ; ; +; -- Top ; 0 ; 119 ; 864 ; 0 ; +; -- sld_hub:auto_hub ; 119 ; 16 ; 115 ; 0 ; +; -- sld_signaltap:auto_signaltap_0 ; 864 ; 115 ; 0 ; 0 ; +; -- hard_block:auto_generated_inst ; 0 ; 0 ; 0 ; 0 ; +; ; ; ; ; ; +; Partition Interface ; ; ; ; ; +; -- Input Ports ; 15 ; 19 ; 149 ; 0 ; +; -- Output Ports ; 43 ; 37 ; 102 ; 0 ; +; -- Bidir Ports ; 0 ; 0 ; 0 ; 0 ; +; ; ; ; ; ; +; Registered Ports ; ; ; ; ; +; -- Registered Input Ports ; 0 ; 4 ; 54 ; 0 ; +; -- Registered Output Ports ; 0 ; 26 ; 93 ; 0 ; +; ; ; ; ; ; +; Port Connectivity ; ; ; ; ; +; -- Input Ports driven by GND ; 0 ; 1 ; 2 ; 0 ; +; -- Output Ports driven by GND ; 0 ; 1 ; 0 ; 0 ; +; -- Input Ports driven by VCC ; 0 ; 0 ; 0 ; 0 ; +; -- Output Ports driven by VCC ; 0 ; 0 ; 0 ; 0 ; +; -- Input Ports with no Source ; 0 ; 1 ; 35 ; 0 ; +; -- Output Ports with no Source ; 0 ; 0 ; 0 ; 0 ; +; -- Input Ports with no Fanout ; 0 ; 2 ; 40 ; 0 ; +; -- Output Ports with no Fanout ; 0 ; 15 ; 93 ; 0 ; ++---------------------------------------------+----------------------+-----------------------+--------------------------------+--------------------------------+ +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -332,8 +332,8 @@ The pin-out file can be found in C:/home/abbas/dsd_A6/lab3/output_files/gA6_lab3 ; addr[5] ; U12 ; 8 ; 26 ; 0 ; 2 ; 18 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; ; button ; R22 ; 6 ; 50 ; 10 ; 1 ; 23 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; ; clk ; L1 ; 2 ; 0 ; 13 ; 0 ; 1 ; 0 ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; -; mode[0] ; U11 ; 8 ; 26 ; 0 ; 3 ; 12 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; -; mode[1] ; M2 ; 1 ; 0 ; 13 ; 3 ; 11 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; mode[0] ; U11 ; 8 ; 26 ; 0 ; 3 ; 13 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +; mode[1] ; M2 ; 1 ; 0 ; 13 ; 3 ; 12 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; ; mode_segment ; L2 ; 2 ; 0 ; 13 ; 1 ; 21 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; ; rst ; T21 ; 6 ; 50 ; 9 ; 1 ; 44 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; User ; +--------------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ @@ -374,18 +374,18 @@ The pin-out file can be found in C:/home/abbas/dsd_A6/lab3/output_files/gA6_lab3 ; led_mode[4] ; L8 ; 2 ; 0 ; 19 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; - ; - ; ; led_mode[5] ; F3 ; 2 ; 0 ; 22 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; - ; - ; ; led_mode[6] ; D4 ; 2 ; 0 ; 25 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; User ; 0 pF ; - ; - ; -; num[0] ; E11 ; 3 ; 22 ; 27 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; -; num[1] ; B11 ; 3 ; 22 ; 27 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; -; num[2] ; A11 ; 3 ; 22 ; 27 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; -; num[3] ; F11 ; 3 ; 18 ; 27 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; -; num[4] ; F10 ; 3 ; 18 ; 27 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; -; num[5] ; A13 ; 4 ; 26 ; 27 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; -; value[0] ; D11 ; 3 ; 22 ; 27 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; -; value[1] ; B9 ; 3 ; 15 ; 27 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; -; value[2] ; H9 ; 3 ; 15 ; 27 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; -; value[3] ; A14 ; 4 ; 29 ; 27 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; -; value[4] ; A15 ; 4 ; 33 ; 27 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; -; value[5] ; C10 ; 3 ; 18 ; 27 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; num[0] ; B15 ; 4 ; 33 ; 27 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; num[1] ; F14 ; 4 ; 35 ; 27 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; num[2] ; J22 ; 5 ; 50 ; 16 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; num[3] ; L18 ; 5 ; 50 ; 15 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; num[4] ; B17 ; 4 ; 37 ; 27 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; num[5] ; H19 ; 5 ; 50 ; 16 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; value[0] ; A15 ; 4 ; 33 ; 27 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; value[1] ; E14 ; 4 ; 35 ; 27 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; value[2] ; J15 ; 5 ; 50 ; 18 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; value[3] ; A13 ; 4 ; 26 ; 27 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; value[4] ; B14 ; 4 ; 29 ; 27 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; value[5] ; B13 ; 4 ; 26 ; 27 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +--------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+ @@ -396,9 +396,9 @@ The pin-out file can be found in C:/home/abbas/dsd_A6/lab3/output_files/gA6_lab3 +----------+------------------+---------------+--------------+ ; 1 ; 1 / 41 ( 2 % ) ; 3.3V ; -- ; ; 2 ; 32 / 33 ( 97 % ) ; 3.3V ; -- ; -; 3 ; 9 / 43 ( 21 % ) ; 3.3V ; -- ; -; 4 ; 3 / 40 ( 8 % ) ; 3.3V ; -- ; -; 5 ; 2 / 39 ( 5 % ) ; 3.3V ; -- ; +; 3 ; 0 / 43 ( 0 % ) ; 3.3V ; -- ; +; 4 ; 8 / 40 ( 20 % ) ; 3.3V ; -- ; +; 5 ; 6 / 39 ( 15 % ) ; 3.3V ; -- ; ; 6 ; 6 / 36 ( 17 % ) ; 3.3V ; -- ; ; 7 ; 2 / 40 ( 5 % ) ; 3.3V ; -- ; ; 8 ; 2 / 43 ( 5 % ) ; 3.3V ; -- ; @@ -420,11 +420,11 @@ The pin-out file can be found in C:/home/abbas/dsd_A6/lab3/output_files/gA6_lab3 ; A8 ; 304 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; A9 ; 298 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; A10 ; 293 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; A11 ; 287 ; 3 ; num[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; A11 ; 287 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; A12 ; 283 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; A13 ; 281 ; 4 ; num[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; A14 ; 279 ; 4 ; value[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; A15 ; 273 ; 4 ; value[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; A13 ; 281 ; 4 ; value[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; A14 ; 279 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A15 ; 273 ; 4 ; value[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; ; A16 ; 271 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; A17 ; 265 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; A18 ; 251 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; @@ -484,15 +484,15 @@ The pin-out file can be found in C:/home/abbas/dsd_A6/lab3/output_files/gA6_lab3 ; B6 ; 319 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; B7 ; 305 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; B8 ; 303 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; B9 ; 297 ; 3 ; value[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; B9 ; 297 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; B10 ; 292 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; B11 ; 286 ; 3 ; num[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; B11 ; 286 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; B12 ; 282 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; B13 ; 280 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; B14 ; 278 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; B15 ; 272 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B13 ; 280 ; 4 ; value[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; B14 ; 278 ; 4 ; value[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; B15 ; 272 ; 4 ; num[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; ; B16 ; 270 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; B17 ; 264 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B17 ; 264 ; 4 ; num[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; ; B18 ; 250 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; B19 ; 248 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; B20 ; 246 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; @@ -507,7 +507,7 @@ The pin-out file can be found in C:/home/abbas/dsd_A6/lab3/output_files/gA6_lab3 ; C7 ; 315 ; 3 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; ; C8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; C9 ; 310 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; C10 ; 296 ; 3 ; value[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; C10 ; 296 ; 3 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; ; C11 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; C12 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; C13 ; 275 ; 4 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; @@ -530,7 +530,7 @@ The pin-out file can be found in C:/home/abbas/dsd_A6/lab3/output_files/gA6_lab3 ; D8 ; 309 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; D9 ; 302 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; D10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; D11 ; 289 ; 3 ; value[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; D11 ; 289 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; D12 ; 284 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; ; D13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; D14 ; 267 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; @@ -552,10 +552,10 @@ The pin-out file can be found in C:/home/abbas/dsd_A6/lab3/output_files/gA6_lab3 ; E8 ; 308 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; E9 ; 301 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; E10 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; E11 ; 288 ; 3 ; num[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; E11 ; 288 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; E12 ; 285 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; ; E13 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; E14 ; 266 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E14 ; 266 ; 4 ; value[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; ; E15 ; 256 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; E16 ; ; ; GNDA_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; ; E17 ; ; ; GND_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; @@ -573,11 +573,11 @@ The pin-out file can be found in C:/home/abbas/dsd_A6/lab3/output_files/gA6_lab3 ; F7 ; ; ; GNDA_PLL3 ; gnd ; ; ; -- ; ; -- ; -- ; ; F8 ; 312 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; F9 ; 307 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; F10 ; 295 ; 3 ; num[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; F11 ; 294 ; 3 ; num[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; F10 ; 295 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F11 ; 294 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; F12 ; 276 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; F13 ; 269 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; F14 ; 268 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F14 ; 268 ; 4 ; num[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; ; F15 ; 262 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; F16 ; ; ; VCCA_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; F17 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; @@ -616,7 +616,7 @@ The pin-out file can be found in C:/home/abbas/dsd_A6/lab3/output_files/gA6_lab3 ; H6 ; 19 ; 2 ; led_floor[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; H7 ; 318 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; H8 ; 314 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; H9 ; 300 ; 3 ; value[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; H9 ; 300 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; H10 ; 299 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; H11 ; 290 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; H12 ; 274 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; @@ -626,7 +626,7 @@ The pin-out file can be found in C:/home/abbas/dsd_A6/lab3/output_files/gA6_lab3 ; H16 ; 219 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; ; H17 ; 226 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; ; H18 ; 225 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; H19 ; 214 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H19 ; 214 ; 5 ; num[5] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; ; H20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; H21 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; ; H22 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; @@ -644,14 +644,14 @@ The pin-out file can be found in C:/home/abbas/dsd_A6/lab3/output_files/gA6_lab3 ; J12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; J13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; J14 ; 258 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; J15 ; 220 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J15 ; 220 ; 5 ; value[2] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; ; J16 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; J17 ; 218 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; ; J18 ; 217 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; ; J19 ; 216 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; ; J20 ; 213 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; ; J21 ; 211 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; J22 ; 212 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J22 ; 212 ; 5 ; num[2] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; ; K1 ; 37 ; 2 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; ; K2 ; 32 ; 2 ; altera_reserved_tck ; input ; 3.3-V LVTTL ; ; -- ; N ; no ; Off ; ; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; @@ -691,7 +691,7 @@ The pin-out file can be found in C:/home/abbas/dsd_A6/lab3/output_files/gA6_lab3 ; L15 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; ; L16 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; ; L17 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; -; L18 ; 208 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; L18 ; 208 ; 5 ; num[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; ; L19 ; 207 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; ; L20 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; L21 ; 205 ; 5 ; addr[1] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; @@ -940,8 +940,8 @@ Note: User assignments will override these defaults. The user specified values a +---------------------------------------------------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M4Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Library Name ; +---------------------------------------------------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ -; |gA6_lab3 ; 1722 (2) ; 1210 (0) ; 0 (0) ; 9216 ; 4 ; 0 ; 0 ; 0 ; 54 ; 0 ; 512 (2) ; 512 (0) ; 698 (0) ; |gA6_lab3 ; ; -; |gA6_testbed:inst| ; 736 (0) ; 360 (0) ; 0 (0) ; 3328 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 376 (0) ; 0 (0) ; 360 (0) ; |gA6_lab3|gA6_testbed:inst ; ; +; |gA6_lab3 ; 2037 (2) ; 1210 (0) ; 0 (0) ; 9216 ; 4 ; 0 ; 0 ; 0 ; 54 ; 0 ; 827 (2) ; 824 (0) ; 386 (0) ; |gA6_lab3 ; ; +; |gA6_testbed:inst| ; 1049 (0) ; 360 (0) ; 0 (0) ; 3328 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 689 (0) ; 312 (0) ; 48 (0) ; |gA6_lab3|gA6_testbed:inst ; ; ; |gA6_7_segment_decoder:inst6| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_7_segment_decoder:inst6 ; ; ; |gA6_7_segment_decoder:inst7| ; 18 (18) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 18 (18) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_7_segment_decoder:inst7 ; ; ; |gA6_7_segment_decoder:inst8| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_7_segment_decoder:inst8 ; ; @@ -954,163 +954,163 @@ Note: User assignments will override these defaults. The user specified values a ; |gA6_adder8:inst| ; 4 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_modulo_13:inst5|gA6_adder8:inst ; ; ; |gA6_adder:inst5| ; 3 (3) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_modulo_13:inst5|gA6_adder8:inst|gA6_adder:inst5 ; ; ; |gA6_adder:inst7| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_modulo_13:inst5|gA6_adder8:inst|gA6_adder:inst7 ; ; -; |gA6_stack52:inst4| ; 639 (6) ; 318 (0) ; 0 (0) ; 3328 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 321 (6) ; 0 (0) ; 318 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4 ; ; -; |busmux:inst100| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst100 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst100|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst100|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst102| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst102 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst102|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst102|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst10| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst10 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst10|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst10|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst12| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst12 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst12|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst12|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst15| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst15 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst15|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst15|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst16| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst16 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst16|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst16|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst18| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst18 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst18|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst18|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst1| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst1 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst1|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst1|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst20| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst20 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst20|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst20|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst22| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst22 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst22|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst22|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst24| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst24 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst24|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst24|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst26| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst26 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst26|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst26|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst29| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst29 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst29|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst29|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst30| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst30 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst30|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst30|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst32| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst32 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst32|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst32|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst34| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst34 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst34|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst34|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst36| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst36 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst36|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst36|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst38| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst38 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst38|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst38|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst3| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst3 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst3|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst3|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst40| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst40 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst40|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst40|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst43| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst43 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst43|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst43|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst44| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst44 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst44|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst44|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst46| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst46 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst46|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst46|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst48| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst48 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst48|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst48|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst4| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst4 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst4|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst4|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst50| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst50 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst50|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst50|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst52| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst52 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst52|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst52|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst55| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst55 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst55|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst55|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst56| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst56 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst56|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst56|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst58| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst58 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst58|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst58|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst60| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst60 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst60|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst60|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst62| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst62 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst62|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst62|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst64| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst64 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst64|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst64|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst66| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst66 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst66|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst66|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst69| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst69 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst69|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst69|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst6| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst6 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst6|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst6|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst70| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst70 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst70|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst70|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst72| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst72 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst72|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst72|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst74| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst74 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst74|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst74|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst76| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst76 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst76|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst76|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst78| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst78 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst78|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst78|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst80| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst80 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst80|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst80|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst83| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst83 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst83|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst83|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst84| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst84 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst84|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst84|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst86| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst86 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst86|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst86|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst88| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst88 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst88|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst88|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst8| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst8 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst8|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst8|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst90| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst90 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst90|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst90|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst92| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst92 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst92|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst92|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst94| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst94 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst94|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst94|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst97| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst97 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst97|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst97|lpm_mux:$00000|mux_qmc:auto_generated ; ; -; |busmux:inst98| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst98 ; ; -; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst98|lpm_mux:$00000 ; ; -; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst98|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |gA6_stack52:inst4| ; 951 (5) ; 318 (0) ; 0 (0) ; 3328 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 633 (5) ; 312 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4 ; ; +; |busmux:inst100| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst100 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst100|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst100|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst102| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst102 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst102|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst102|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst10| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst10 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst10|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst10|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst12| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst12 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst12|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst12|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst15| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst15 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst15|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst15|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst16| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst16 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst16|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst16|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst18| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst18 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst18|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst18|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst1| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst1 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst1|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst1|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst20| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst20 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst20|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst20|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst22| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst22 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst22|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst22|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst24| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst24 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst24|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst24|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst26| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst26 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst26|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst26|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst29| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst29 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst29|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst29|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst30| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst30 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst30|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst30|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst32| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst32 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst32|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst32|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst34| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst34 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst34|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst34|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst36| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst36 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst36|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst36|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst38| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst38 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst38|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst38|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst3| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst3 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst3|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst3|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst40| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst40 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst40|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst40|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst43| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst43 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst43|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst43|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst44| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst44 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst44|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst44|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst46| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst46 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst46|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst46|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst48| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst48 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst48|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst48|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst4| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst4 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst4|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst4|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst50| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst50 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst50|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst50|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst52| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst52 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst52|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst52|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst55| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst55 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst55|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst55|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst56| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst56 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst56|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst56|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst58| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst58 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst58|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst58|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst60| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst60 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst60|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst60|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst62| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst62 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst62|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst62|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst64| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst64 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst64|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst64|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst66| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst66 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst66|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst66|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst69| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst69 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst69|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst69|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst6| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst6 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst6|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst6|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst70| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst70 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst70|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst70|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst72| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst72 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst72|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst72|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst74| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst74 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst74|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst74|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst76| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst76 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst76|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst76|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst78| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst78 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst78|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst78|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst80| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst80 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst80|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst80|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst83| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst83 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst83|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst83|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst84| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst84 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst84|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst84|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst86| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst86 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst86|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst86|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst88| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst88 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst88|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst88|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst8| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst8 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst8|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst8|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst90| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst90 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst90|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst90|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst92| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst92 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst92|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst92|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst94| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst94 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst94|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst94|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst97| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst97 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst97|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst97|lpm_mux:$00000|mux_qmc:auto_generated ; ; +; |busmux:inst98| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst98 ; ; +; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst98|lpm_mux:$00000 ; ; +; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst98|lpm_mux:$00000|mux_qmc:auto_generated ; ; ; |gA6_ff_enable52:inst124| ; 53 (53) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 53 (53) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124 ; ; ; |gA6_pop_enable:inst104| ; 0 (0) ; 0 (0) ; 0 (0) ; 3328 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|gA6_pop_enable:inst104 ; ; ; |lpm_rom:lookup_table| ; 0 (0) ; 0 (0) ; 0 (0) ; 3328 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|gA6_pop_enable:inst104|lpm_rom:lookup_table ; ; @@ -1121,73 +1121,73 @@ Note: User assignments will override these defaults. The user specified values a ; |cmpr_4nh:auto_generated| ; 3 (3) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_compare:inst119|cmpr_4nh:auto_generated ; ; ; |lpm_compare:inst120| ; 2 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_compare:inst120 ; ; ; |cmpr_86h:auto_generated| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_compare:inst120|cmpr_86h:auto_generated ; ; -; |lpm_counter:inst115| ; 14 (0) ; 6 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115 ; ; -; |cntr_p2i:auto_generated| ; 14 (14) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated ; ; -; |lpm_ff:inst101| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst101 ; ; -; |lpm_ff:inst103| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst103 ; ; -; |lpm_ff:inst11| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst11 ; ; -; |lpm_ff:inst13| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst13 ; ; -; |lpm_ff:inst14| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst14 ; ; -; |lpm_ff:inst17| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst17 ; ; -; |lpm_ff:inst19| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst19 ; ; -; |lpm_ff:inst21| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst21 ; ; -; |lpm_ff:inst23| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst23 ; ; -; |lpm_ff:inst25| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst25 ; ; -; |lpm_ff:inst27| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst27 ; ; -; |lpm_ff:inst28| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst28 ; ; -; |lpm_ff:inst2| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst2 ; ; -; |lpm_ff:inst31| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst31 ; ; -; |lpm_ff:inst33| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst33 ; ; -; |lpm_ff:inst35| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst35 ; ; -; |lpm_ff:inst37| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst37 ; ; -; |lpm_ff:inst39| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst39 ; ; -; |lpm_ff:inst41| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst41 ; ; -; |lpm_ff:inst42| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst42 ; ; -; |lpm_ff:inst45| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst45 ; ; -; |lpm_ff:inst47| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst47 ; ; -; |lpm_ff:inst49| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst49 ; ; -; |lpm_ff:inst51| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst51 ; ; -; |lpm_ff:inst53| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst53 ; ; -; |lpm_ff:inst54| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst54 ; ; -; |lpm_ff:inst57| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst57 ; ; -; |lpm_ff:inst59| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst59 ; ; -; |lpm_ff:inst5| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst5 ; ; -; |lpm_ff:inst61| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst61 ; ; -; |lpm_ff:inst63| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst63 ; ; -; |lpm_ff:inst65| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst65 ; ; -; |lpm_ff:inst67| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst67 ; ; -; |lpm_ff:inst68| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst68 ; ; -; |lpm_ff:inst71| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst71 ; ; -; |lpm_ff:inst73| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst73 ; ; -; |lpm_ff:inst75| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst75 ; ; -; |lpm_ff:inst77| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst77 ; ; -; |lpm_ff:inst79| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst79 ; ; -; |lpm_ff:inst7| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst7 ; ; -; |lpm_ff:inst81| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst81 ; ; -; |lpm_ff:inst82| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst82 ; ; -; |lpm_ff:inst85| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst85 ; ; -; |lpm_ff:inst87| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst87 ; ; -; |lpm_ff:inst89| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst89 ; ; -; |lpm_ff:inst91| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst91 ; ; -; |lpm_ff:inst93| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst93 ; ; -; |lpm_ff:inst95| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst95 ; ; -; |lpm_ff:inst96| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst96 ; ; -; |lpm_ff:inst99| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst99 ; ; -; |lpm_ff:inst9| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst9 ; ; -; |lpm_ff:inst| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst ; ; +; |lpm_counter:inst115| ; 15 (0) ; 6 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (0) ; 0 (0) ; 6 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115 ; ; +; |cntr_p2i:auto_generated| ; 15 (15) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (9) ; 0 (0) ; 6 (6) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated ; ; +; |lpm_ff:inst101| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst101 ; ; +; |lpm_ff:inst103| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst103 ; ; +; |lpm_ff:inst11| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst11 ; ; +; |lpm_ff:inst13| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst13 ; ; +; |lpm_ff:inst14| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst14 ; ; +; |lpm_ff:inst17| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst17 ; ; +; |lpm_ff:inst19| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst19 ; ; +; |lpm_ff:inst21| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst21 ; ; +; |lpm_ff:inst23| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst23 ; ; +; |lpm_ff:inst25| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst25 ; ; +; |lpm_ff:inst27| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst27 ; ; +; |lpm_ff:inst28| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst28 ; ; +; |lpm_ff:inst2| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst2 ; ; +; |lpm_ff:inst31| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst31 ; ; +; |lpm_ff:inst33| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst33 ; ; +; |lpm_ff:inst35| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst35 ; ; +; |lpm_ff:inst37| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst37 ; ; +; |lpm_ff:inst39| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst39 ; ; +; |lpm_ff:inst41| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst41 ; ; +; |lpm_ff:inst42| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst42 ; ; +; |lpm_ff:inst45| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst45 ; ; +; |lpm_ff:inst47| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst47 ; ; +; |lpm_ff:inst49| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst49 ; ; +; |lpm_ff:inst51| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst51 ; ; +; |lpm_ff:inst53| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst53 ; ; +; |lpm_ff:inst54| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst54 ; ; +; |lpm_ff:inst57| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst57 ; ; +; |lpm_ff:inst59| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst59 ; ; +; |lpm_ff:inst5| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst5 ; ; +; |lpm_ff:inst61| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst61 ; ; +; |lpm_ff:inst63| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst63 ; ; +; |lpm_ff:inst65| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst65 ; ; +; |lpm_ff:inst67| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst67 ; ; +; |lpm_ff:inst68| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst68 ; ; +; |lpm_ff:inst71| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst71 ; ; +; |lpm_ff:inst73| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst73 ; ; +; |lpm_ff:inst75| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst75 ; ; +; |lpm_ff:inst77| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst77 ; ; +; |lpm_ff:inst79| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst79 ; ; +; |lpm_ff:inst7| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst7 ; ; +; |lpm_ff:inst81| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst81 ; ; +; |lpm_ff:inst82| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst82 ; ; +; |lpm_ff:inst85| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst85 ; ; +; |lpm_ff:inst87| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst87 ; ; +; |lpm_ff:inst89| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst89 ; ; +; |lpm_ff:inst91| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst91 ; ; +; |lpm_ff:inst93| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst93 ; ; +; |lpm_ff:inst95| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst95 ; ; +; |lpm_ff:inst96| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst96 ; ; +; |lpm_ff:inst99| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst99 ; ; +; |lpm_ff:inst9| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst9 ; ; +; |lpm_ff:inst| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst ; ; ; |lpm_mux0:inst125| ; 249 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 249 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_mux0:inst125 ; ; ; |lpm_mux:LPM_MUX_component| ; 249 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 249 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_mux0:inst125|lpm_mux:LPM_MUX_component ; ; ; |mux_v4e:auto_generated| ; 249 (249) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 249 (249) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_mux0:inst125|lpm_mux:LPM_MUX_component|mux_v4e:auto_generated ; ; ; |lpm_compare:inst11| ; 7 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|lpm_compare:inst11 ; ; ; |cmpr_6cg:auto_generated| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|lpm_compare:inst11|cmpr_6cg:auto_generated ; ; -; |lpm_compare:inst1| ; 7 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|lpm_compare:inst1 ; ; -; |cmpr_6cg:auto_generated| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|lpm_compare:inst1|cmpr_6cg:auto_generated ; ; +; |lpm_compare:inst1| ; 8 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (0) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|lpm_compare:inst1 ; ; +; |cmpr_6cg:auto_generated| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 0 (0) ; 0 (0) ; |gA6_lab3|gA6_testbed:inst|lpm_compare:inst1|cmpr_6cg:auto_generated ; ; ; |lpm_counter:inst12| ; 21 (0) ; 21 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 21 (0) ; |gA6_lab3|gA6_testbed:inst|lpm_counter:inst12 ; ; ; |cntr_h1h:auto_generated| ; 21 (21) ; 21 (21) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 21 (21) ; |gA6_lab3|gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated ; ; ; |lpm_counter:inst| ; 21 (0) ; 21 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 21 (0) ; |gA6_lab3|gA6_testbed:inst|lpm_counter:inst ; ; ; |cntr_h1h:auto_generated| ; 21 (21) ; 21 (21) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 21 (21) ; |gA6_lab3|gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated ; ; -; |sld_hub:auto_hub| ; 138 (1) ; 86 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 52 (1) ; 22 (0) ; 64 (0) ; |gA6_lab3|sld_hub:auto_hub ; ; -; |sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst| ; 137 (96) ; 86 (58) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 51 (38) ; 22 (22) ; 64 (39) ; |gA6_lab3|sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst ; ; +; |sld_hub:auto_hub| ; 140 (1) ; 86 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 54 (1) ; 22 (0) ; 64 (0) ; |gA6_lab3|sld_hub:auto_hub ; ; +; |sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst| ; 139 (98) ; 86 (58) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 53 (40) ; 22 (22) ; 64 (39) ; |gA6_lab3|sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst ; ; ; |sld_rom_sr:hub_info_reg| ; 21 (21) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 12 (12) ; 0 (0) ; 9 (9) ; |gA6_lab3|sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_rom_sr:hub_info_reg ; ; ; |sld_shadow_jsm:shadow_jsm| ; 20 (20) ; 19 (19) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 19 (19) ; |gA6_lab3|sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm ; ; ; |sld_signaltap:auto_signaltap_0| ; 846 (93) ; 764 (92) ; 0 (0) ; 5888 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 82 (1) ; 490 (84) ; 274 (0) ; |gA6_lab3|sld_signaltap:auto_signaltap_0 ; ; @@ -1351,72 +1351,6 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; mode[0] ; ; ; ; clk ; ; ; ; rst ; ; ; -; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[20] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[19] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[18] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[17] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[16] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[15] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[14] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[13] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[12] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[11] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[10] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[9] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[8] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[7] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[6] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[5] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[4] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[3] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[2] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[1] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[0] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[20] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[19] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[18] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[17] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[16] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[15] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[14] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[13] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[12] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[11] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[10] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[9] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[8] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[7] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[6] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[5] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[4] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[3] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[2] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[1] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[0] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[20] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[19] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[18] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[17] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[16] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[15] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[14] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[13] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[12] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[11] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[10] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[9] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[8] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[7] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[6] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[5] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[4] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[3] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[2] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[1] ; 1 ; 6 ; -; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[0] ; 1 ; 6 ; -; - sld_signaltap:auto_signaltap_0|acq_trigger_in_reg[39] ; 1 ; 6 ; -; - sld_signaltap:auto_signaltap_0|acq_data_in_reg[39] ; 1 ; 6 ; -; button ; ; ; ; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[20] ; 0 ; 6 ; ; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[19] ; 0 ; 6 ; ; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[18] ; 0 ; 6 ; @@ -1438,8 +1372,74 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[2] ; 0 ; 6 ; ; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[1] ; 0 ; 6 ; ; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[0] ; 0 ; 6 ; -; - sld_signaltap:auto_signaltap_0|acq_trigger_in_reg[6] ; 0 ; 6 ; -; - sld_signaltap:auto_signaltap_0|acq_data_in_reg[6]~feeder ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[20] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[19] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[18] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[17] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[16] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[15] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[14] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[13] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[12] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[11] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[10] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[9] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[8] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[7] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[6] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[5] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[4] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[3] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[2] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[1] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[0] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[20] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[19] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[18] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[17] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[16] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[15] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[14] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[13] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[12] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[11] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[10] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[9] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[8] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[7] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[6] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[5] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[4] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[3] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[2] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[1] ; 0 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|counter_reg_bit1a[0] ; 0 ; 6 ; +; - sld_signaltap:auto_signaltap_0|acq_trigger_in_reg[39] ; 0 ; 6 ; +; - sld_signaltap:auto_signaltap_0|acq_data_in_reg[39] ; 0 ; 6 ; +; button ; ; ; +; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[20] ; 1 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[19] ; 1 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[18] ; 1 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[17] ; 1 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[16] ; 1 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[15] ; 1 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[14] ; 1 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[13] ; 1 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[12] ; 1 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[11] ; 1 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[10] ; 1 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[9] ; 1 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[8] ; 1 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[7] ; 1 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[6] ; 1 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[5] ; 1 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[4] ; 1 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[3] ; 1 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[2] ; 1 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[1] ; 1 ; 6 ; +; - gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|counter_reg_bit1a[0] ; 1 ; 6 ; +; - sld_signaltap:auto_signaltap_0|acq_trigger_in_reg[6] ; 1 ; 6 ; +; - sld_signaltap:auto_signaltap_0|acq_data_in_reg[6] ; 1 ; 6 ; +------------------------------------------------------------------------------------------+-------------------+---------+ @@ -1448,105 +1448,105 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------------+---------+----------------------------+--------+----------------------+------------------+---------------------------+ ; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------------+---------+----------------------------+--------+----------------------+------------------+---------------------------+ -; altera_internal_jtag~TCKUTAP ; JTAG_X1_Y14_N0 ; 384 ; Clock ; yes ; Global Clock ; GCLK0 ; -- ; +; altera_internal_jtag~TCKUTAP ; JTAG_X1_Y14_N0 ; 384 ; Clock ; yes ; Global Clock ; GCLK1 ; -- ; ; altera_internal_jtag~TMSUTAP ; JTAG_X1_Y14_N0 ; 23 ; Sync. clear ; no ; -- ; -- ; -- ; ; button ; PIN_R22 ; 23 ; Clock enable ; no ; -- ; -- ; -- ; ; clk ; PIN_L1 ; 832 ; Clock ; yes ; Global Clock ; GCLK2 ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst ; LCCOMB_X34_Y14_N20 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst1 ; LCCOMB_X33_Y15_N20 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst10 ; LCCOMB_X34_Y16_N14 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst11 ; LCCOMB_X34_Y16_N20 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst12 ; LCCOMB_X37_Y17_N28 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst13 ; LCCOMB_X37_Y17_N8 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst14 ; LCCOMB_X37_Y17_N2 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst15 ; LCCOMB_X33_Y18_N8 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst16 ; LCCOMB_X34_Y14_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst17 ; LCCOMB_X33_Y18_N2 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst18 ; LCCOMB_X37_Y17_N12 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst19 ; LCCOMB_X37_Y17_N22 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst2 ; LCCOMB_X37_Y17_N30 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst20 ; LCCOMB_X32_Y17_N24 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst21 ; LCCOMB_X37_Y17_N26 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst22 ; LCCOMB_X37_Y17_N24 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst23 ; LCCOMB_X33_Y17_N6 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst24 ; LCCOMB_X34_Y17_N22 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst25 ; LCCOMB_X36_Y17_N26 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst26 ; LCCOMB_X36_Y17_N20 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst27 ; LCCOMB_X36_Y18_N30 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst28 ; LCCOMB_X37_Y18_N8 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst29 ; LCCOMB_X37_Y17_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst3 ; LCCOMB_X33_Y15_N6 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst30 ; LCCOMB_X35_Y18_N14 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst31 ; LCCOMB_X35_Y18_N12 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst32 ; LCCOMB_X31_Y16_N30 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst33 ; LCCOMB_X31_Y16_N4 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst34 ; LCCOMB_X26_Y16_N2 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst35 ; LCCOMB_X26_Y16_N28 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst36 ; LCCOMB_X25_Y16_N4 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst37 ; LCCOMB_X25_Y16_N2 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst38 ; LCCOMB_X25_Y18_N10 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst39 ; LCCOMB_X25_Y17_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst4 ; LCCOMB_X32_Y15_N4 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst40 ; LCCOMB_X37_Y17_N18 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst41 ; LCCOMB_X25_Y17_N10 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst42 ; LCCOMB_X37_Y17_N20 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst43 ; LCCOMB_X26_Y17_N22 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst44 ; LCCOMB_X27_Y17_N30 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst45 ; LCCOMB_X32_Y15_N6 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst46 ; LCCOMB_X27_Y18_N30 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst47 ; LCCOMB_X27_Y18_N4 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst48 ; LCCOMB_X29_Y18_N12 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst49 ; LCCOMB_X29_Y18_N18 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst5 ; LCCOMB_X37_Y17_N10 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst50 ; LCCOMB_X29_Y18_N16 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst51 ; LCCOMB_X25_Y18_N8 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst6 ; LCCOMB_X37_Y17_N16 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst7 ; LCCOMB_X37_Y17_N4 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst8 ; LCCOMB_X34_Y14_N22 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst9 ; LCCOMB_X37_Y17_N6 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|inst109 ; LCCOMB_X33_Y15_N8 ; 319 ; Sync. load ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|_~0 ; LCCOMB_X24_Y18_N28 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|counter_reg_bit1a[3]~1 ; LCCOMB_X24_Y16_N6 ; 7 ; Sync. load ; no ; -- ; -- ; -- ; -; gA6_testbed:inst|lpm_compare:inst11|cmpr_6cg:auto_generated|aneb_result_wire[0] ; LCCOMB_X24_Y16_N4 ; 312 ; Async. clear ; yes ; Global Clock ; GCLK8 ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst39 ; LCCOMB_X33_Y15_N16 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst40 ; LCCOMB_X32_Y13_N16 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst41 ; LCCOMB_X32_Y13_N10 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst42 ; LCCOMB_X33_Y12_N4 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst43 ; LCCOMB_X33_Y13_N20 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst44 ; LCCOMB_X34_Y13_N2 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst45 ; LCCOMB_X34_Y13_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst46 ; LCCOMB_X33_Y13_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst47 ; LCCOMB_X35_Y13_N26 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst48 ; LCCOMB_X35_Y13_N10 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst49 ; LCCOMB_X35_Y13_N28 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst50 ; LCCOMB_X33_Y13_N10 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst51 ; LCCOMB_X35_Y13_N14 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst55~0 ; LCCOMB_X29_Y14_N10 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst56~0 ; LCCOMB_X20_Y13_N28 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst57~0 ; LCCOMB_X29_Y13_N26 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst58~0 ; LCCOMB_X30_Y13_N20 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst59~0 ; LCCOMB_X29_Y12_N4 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst60~0 ; LCCOMB_X29_Y12_N22 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst61~0 ; LCCOMB_X20_Y13_N24 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst62~0 ; LCCOMB_X29_Y11_N2 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst63~0 ; LCCOMB_X24_Y13_N22 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst64~0 ; LCCOMB_X24_Y13_N24 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst65~0 ; LCCOMB_X26_Y11_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst66~0 ; LCCOMB_X26_Y11_N26 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst67~0 ; LCCOMB_X20_Y13_N10 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst68~0 ; LCCOMB_X24_Y13_N12 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst69~0 ; LCCOMB_X24_Y10_N6 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst70~0 ; LCCOMB_X24_Y10_N10 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst71~0 ; LCCOMB_X22_Y10_N20 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst72~0 ; LCCOMB_X22_Y11_N28 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst73~0 ; LCCOMB_X20_Y13_N12 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst74~0 ; LCCOMB_X20_Y13_N22 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst75~0 ; LCCOMB_X22_Y13_N8 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst76~0 ; LCCOMB_X22_Y13_N4 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst77~0 ; LCCOMB_X24_Y13_N10 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst78~0 ; LCCOMB_X20_Y13_N14 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst79~0 ; LCCOMB_X22_Y14_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst80~0 ; LCCOMB_X20_Y13_N16 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst81~0 ; LCCOMB_X24_Y15_N16 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst82~0 ; LCCOMB_X24_Y15_N28 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst83~0 ; LCCOMB_X24_Y13_N2 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst84~1 ; LCCOMB_X24_Y13_N28 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst85~0 ; LCCOMB_X24_Y13_N14 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst86~0 ; LCCOMB_X24_Y13_N16 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst87~0 ; LCCOMB_X24_Y13_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst88~0 ; LCCOMB_X24_Y13_N4 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst89~0 ; LCCOMB_X25_Y13_N10 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst90~0 ; LCCOMB_X24_Y13_N6 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst91~0 ; LCCOMB_X20_Y13_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst92~0 ; LCCOMB_X26_Y11_N22 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst93~0 ; LCCOMB_X20_Y13_N2 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|inst109 ; LCCOMB_X30_Y14_N28 ; 319 ; Sync. load ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|_~1 ; LCCOMB_X37_Y15_N28 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|counter_reg_bit1a[3]~1 ; LCCOMB_X37_Y13_N6 ; 7 ; Sync. load ; no ; -- ; -- ; -- ; +; gA6_testbed:inst|lpm_compare:inst11|cmpr_6cg:auto_generated|aneb_result_wire[0] ; LCCOMB_X37_Y13_N4 ; 312 ; Async. clear ; yes ; Global Clock ; GCLK4 ; -- ; ; rst ; PIN_T21 ; 44 ; Async. clear, Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|clr_reg ; LCFF_X12_Y14_N21 ; 20 ; Async. clear ; yes ; Global Clock ; GCLK1 ; -- ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|design_hash_proc~0 ; LCCOMB_X13_Y14_N14 ; 4 ; Sync. load ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena ; LCCOMB_X13_Y14_N2 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~0 ; LCCOMB_X14_Y14_N4 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~1 ; LCCOMB_X13_Y13_N24 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~0 ; LCCOMB_X14_Y14_N18 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0 ; LCCOMB_X14_Y14_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4] ; LCFF_X16_Y14_N25 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7] ; LCFF_X16_Y14_N7 ; 21 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2 ; LCCOMB_X15_Y14_N4 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~15 ; LCCOMB_X12_Y13_N14 ; 5 ; Sync. load ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~16 ; LCCOMB_X12_Y14_N18 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_rom_sr:hub_info_reg|WORD_SR[0]~16 ; LCCOMB_X12_Y14_N14 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_rom_sr:hub_info_reg|word_counter[0]~13 ; LCCOMB_X11_Y14_N8 ; 5 ; Sync. clear ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_rom_sr:hub_info_reg|word_counter[0]~20 ; LCCOMB_X12_Y14_N24 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state[0] ; LCFF_X11_Y15_N21 ; 12 ; Async. clear ; yes ; Global Clock ; GCLK3 ; -- ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state[11] ; LCFF_X12_Y15_N19 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state[3] ; LCFF_X12_Y15_N27 ; 42 ; Sync. load ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state[8] ; LCFF_X12_Y14_N11 ; 15 ; Async. clear ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_dr_scan_proc~0 ; LCCOMB_X12_Y15_N4 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg ; LCFF_X13_Y15_N17 ; 24 ; Async. clear, Clock enable ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altdpram:\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|lpm_decode:wdecoder|decode_rqf:auto_generated|eq_node[0]~1 ; LCCOMB_X20_Y18_N20 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altdpram:\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|lpm_decode:wdecoder|decode_rqf:auto_generated|eq_node[1]~0 ; LCCOMB_X20_Y18_N26 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|buffer_write_enable_delayed ; LCFF_X20_Y16_N13 ; 5 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|collect_data ; LCCOMB_X20_Y16_N2 ; 21 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|reset_all ; LCFF_X15_Y15_N9 ; 282 ; Async. clear ; yes ; Global Clock ; GCLK10 ; -- ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|final_trigger_set~4 ; LCCOMB_X20_Y16_N28 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0 ; LCCOMB_X20_Y16_N22 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~0 ; LCCOMB_X18_Y18_N4 ; 1 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset ; LCCOMB_X15_Y15_N30 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|lpm_counter:\adv_point_3_and_more:advance_pointer_counter|cntr_2ci:auto_generated|counter_reg_bit1a[5]~0 ; LCCOMB_X15_Y17_N26 ; 6 ; Sync. load ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|lpm_counter:status_advance_pointer_counter|cntr_sbi:auto_generated|counter_reg_bit1a[3]~0 ; LCCOMB_X18_Y18_N10 ; 4 ; Sync. load ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|lpm_counter:status_read_pointer_counter|cntr_gui:auto_generated|counter_reg_bit1a[0]~0 ; LCCOMB_X15_Y18_N30 ; 1 ; Sync. load ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter_clk_ena ; LCCOMB_X15_Y17_N20 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_rom_sr:crc_rom_sr|WORD_SR[0]~20 ; LCCOMB_X15_Y15_N4 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_rom_sr:crc_rom_sr|word_counter[3]~11 ; LCCOMB_X15_Y15_N24 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|status_shift_enable~0 ; LCCOMB_X15_Y15_N22 ; 18 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|trigger_setup_ena ; LCCOMB_X15_Y15_N20 ; 159 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|clr_reg ; LCFF_X12_Y17_N29 ; 20 ; Async. clear ; yes ; Global Clock ; GCLK9 ; -- ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|design_hash_proc~0 ; LCCOMB_X15_Y18_N26 ; 4 ; Sync. load ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena ; LCCOMB_X15_Y18_N18 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~0 ; LCCOMB_X15_Y18_N0 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~1 ; LCCOMB_X14_Y17_N22 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~0 ; LCCOMB_X14_Y17_N12 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0 ; LCCOMB_X16_Y17_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4] ; LCFF_X16_Y17_N17 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7] ; LCFF_X16_Y17_N31 ; 21 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2 ; LCCOMB_X15_Y17_N2 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~10 ; LCCOMB_X12_Y17_N4 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~9 ; LCCOMB_X16_Y18_N8 ; 5 ; Sync. load ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_rom_sr:hub_info_reg|WORD_SR[0]~16 ; LCCOMB_X12_Y17_N14 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_rom_sr:hub_info_reg|word_counter[0]~13 ; LCCOMB_X13_Y17_N14 ; 5 ; Sync. clear ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_rom_sr:hub_info_reg|word_counter[0]~20 ; LCCOMB_X12_Y17_N16 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state[0] ; LCFF_X11_Y17_N9 ; 12 ; Async. clear ; yes ; Global Clock ; GCLK3 ; -- ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state[11] ; LCFF_X11_Y17_N27 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state[3] ; LCFF_X11_Y17_N5 ; 42 ; Sync. load ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state[8] ; LCFF_X12_Y17_N19 ; 15 ; Async. clear ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_dr_scan_proc~0 ; LCCOMB_X11_Y17_N14 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg ; LCFF_X10_Y17_N25 ; 24 ; Async. clear, Clock enable ; no ; -- ; -- ; -- ; +; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altdpram:\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|lpm_decode:wdecoder|decode_rqf:auto_generated|eq_node[0]~1 ; LCCOMB_X21_Y15_N28 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altdpram:\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|lpm_decode:wdecoder|decode_rqf:auto_generated|eq_node[1]~0 ; LCCOMB_X21_Y15_N18 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|buffer_write_enable_delayed ; LCFF_X20_Y17_N15 ; 5 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|collect_data ; LCCOMB_X20_Y17_N16 ; 21 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|reset_all ; LCFF_X18_Y17_N1 ; 282 ; Async. clear ; yes ; Global Clock ; GCLK8 ; -- ; +; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|final_trigger_set~4 ; LCCOMB_X20_Y17_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0 ; LCCOMB_X20_Y17_N20 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~0 ; LCCOMB_X20_Y14_N22 ; 1 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset ; LCCOMB_X18_Y17_N24 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; +; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|lpm_counter:\adv_point_3_and_more:advance_pointer_counter|cntr_2ci:auto_generated|counter_reg_bit1a[5]~0 ; LCCOMB_X38_Y17_N28 ; 6 ; Sync. load ; no ; -- ; -- ; -- ; +; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|lpm_counter:status_advance_pointer_counter|cntr_sbi:auto_generated|counter_reg_bit1a[3]~0 ; LCCOMB_X20_Y14_N20 ; 4 ; Sync. load ; no ; -- ; -- ; -- ; +; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|lpm_counter:status_read_pointer_counter|cntr_gui:auto_generated|counter_reg_bit1a[0]~0 ; LCCOMB_X21_Y17_N6 ; 1 ; Sync. load ; no ; -- ; -- ; -- ; +; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter_clk_ena ; LCCOMB_X38_Y17_N6 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_rom_sr:crc_rom_sr|WORD_SR[0]~20 ; LCCOMB_X18_Y17_N14 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_rom_sr:crc_rom_sr|word_counter[3]~11 ; LCCOMB_X18_Y17_N18 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|status_shift_enable~0 ; LCCOMB_X18_Y17_N6 ; 18 ; Clock enable ; no ; -- ; -- ; -- ; +; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|trigger_setup_ena ; LCCOMB_X18_Y17_N22 ; 159 ; Clock enable ; no ; -- ; -- ; -- ; +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------------+---------+----------------------------+--------+----------------------+------------------+---------------------------+ @@ -1555,12 +1555,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------------------------------------------------------------------------------------------------+-------------------+---------+----------------------+------------------+---------------------------+ ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; +-----------------------------------------------------------------------------------------------------------------------+-------------------+---------+----------------------+------------------+---------------------------+ -; altera_internal_jtag~TCKUTAP ; JTAG_X1_Y14_N0 ; 384 ; Global Clock ; GCLK0 ; -- ; +; altera_internal_jtag~TCKUTAP ; JTAG_X1_Y14_N0 ; 384 ; Global Clock ; GCLK1 ; -- ; ; clk ; PIN_L1 ; 832 ; Global Clock ; GCLK2 ; -- ; -; gA6_testbed:inst|lpm_compare:inst11|cmpr_6cg:auto_generated|aneb_result_wire[0] ; LCCOMB_X24_Y16_N4 ; 312 ; Global Clock ; GCLK8 ; -- ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|clr_reg ; LCFF_X12_Y14_N21 ; 20 ; Global Clock ; GCLK1 ; -- ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state[0] ; LCFF_X11_Y15_N21 ; 12 ; Global Clock ; GCLK3 ; -- ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|reset_all ; LCFF_X15_Y15_N9 ; 282 ; Global Clock ; GCLK10 ; -- ; +; gA6_testbed:inst|lpm_compare:inst11|cmpr_6cg:auto_generated|aneb_result_wire[0] ; LCCOMB_X37_Y13_N4 ; 312 ; Global Clock ; GCLK4 ; -- ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|clr_reg ; LCFF_X12_Y17_N29 ; 20 ; Global Clock ; GCLK9 ; -- ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state[0] ; LCFF_X11_Y17_N9 ; 12 ; Global Clock ; GCLK3 ; -- ; +; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|reset_all ; LCFF_X18_Y17_N1 ; 282 ; Global Clock ; GCLK8 ; -- ; +-----------------------------------------------------------------------------------------------------------------------+-------------------+---------+----------------------+------------------+---------------------------+ @@ -1570,20 +1570,20 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Name ; Fan-Out ; +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ ; gA6_testbed:inst|gA6_stack52:inst4|inst109 ; 459 ; -; gA6_testbed:inst|gA6_stack52:inst4|inst108 ; 313 ; +; gA6_testbed:inst|lpm_compare:inst1|cmpr_6cg:auto_generated|aneb_result_wire[0] ; 353 ; +; gA6_testbed:inst|gA6_stack52:inst4|inst108~0 ; 315 ; ; ~GND ; 175 ; ; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|trigger_setup_ena ; 159 ; ; addr[1] ; 155 ; ; addr[0] ; 119 ; ; addr[2] ; 69 ; ; rst ; 65 ; -; gA6_testbed:inst|lpm_compare:inst1|cmpr_6cg:auto_generated|aneb_result_wire[0]~4 ; 56 ; -; gA6_testbed:inst|lpm_compare:inst1|cmpr_6cg:auto_generated|aneb_result_wire[0]~6 ; 55 ; -; gA6_testbed:inst|gA6_stack52:inst4|inst122~0 ; 53 ; ; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1 ; 47 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state[4] ; 45 ; +; gA6_testbed:inst|gA6_stack52:inst4|inst107~0 ; 45 ; ; addr[3] ; 42 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state[3] ; 42 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst84~0 ; 39 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg ; 24 ; ; button ; 23 ; ; addr[4] ; 23 ; @@ -1600,25 +1600,29 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|final_trigger_set~4 ; 16 ; ; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0 ; 16 ; ; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0 ; 16 ; +; gA6_testbed:inst|lpm_compare:inst1|cmpr_6cg:auto_generated|aneb_result_wire[0]~6 ; 16 ; ; gA6_testbed:inst|gA6_stack52:inst4|lpm_mux0:inst125|lpm_mux:LPM_MUX_component|mux_v4e:auto_generated|result_node[5]~68 ; 16 ; ; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altdpram:\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|lpm_decode:wdecoder|decode_rqf:auto_generated|eq_node[0]~1 ; 15 ; ; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altdpram:\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|lpm_decode:wdecoder|decode_rqf:auto_generated|eq_node[1]~0 ; 15 ; ; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altdpram:\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr[0] ; 15 ; ; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|final_trigger_set ; 15 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state[8] ; 15 ; +; gA6_testbed:inst|lpm_compare:inst1|cmpr_6cg:auto_generated|aneb_result_wire[0]~8 ; 15 ; ; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|status_load_on~1 ; 14 ; +; gA6_testbed:inst|gA6_stack52:inst4|inst122~0 ; 14 ; +; mode[0] ; 13 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[8] ; 13 ; ; gA6_testbed:inst|gA6_modulo_13:inst5|gA6_adder8:inst|gA6_adder:inst5|inst2~2 ; 13 ; -; mode[0] ; 12 ; +; mode[1] ; 12 ; ; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|process_0~0 ; 12 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|state[11] ; 12 ; ; altera_internal_jtag~TDIUTAP ; 12 ; ; gA6_testbed:inst|gA6_modulo_13:inst5|gA6_adder6:inst5|gA6_adder:inst1|inst3 ; 12 ; ; gA6_testbed:inst|gA6_modulo_13:inst5|gA6_adder6:inst5|gA6_adder:inst|inst ; 12 ; -; mode[1] ; 11 ; ; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|Equal0~2 ; 11 ; ; gA6_testbed:inst|gA6_modulo_13:inst5|gA6_adder6:inst5|gA6_adder:inst2|inst3 ; 11 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2] ; 10 ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4] ; 10 ; ; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|counter[0]~0 ; 9 ; ; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_rom_sr:crc_rom_sr|word_counter[0] ; 9 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3] ; 9 ; @@ -1628,7 +1632,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0 ; 8 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|node_ena[1]~reg0 ; 8 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][1] ; 8 ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4] ; 8 ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[0] ; 8 ; ; gA6_testbed:inst|gA6_stack52:inst4|lpm_mux0:inst125|lpm_mux:LPM_MUX_component|mux_v4e:auto_generated|result_node[0]~102 ; 8 ; ; gA6_testbed:inst|gA6_stack52:inst4|lpm_mux0:inst125|lpm_mux:LPM_MUX_component|mux_v4e:auto_generated|result_node[1]~85 ; 8 ; ; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter_clk_ena ; 7 ; @@ -1641,7 +1645,6 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[2] ; 7 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0] ; 7 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4] ; 7 ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[0] ; 7 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1] ; 7 ; ; gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|counter_reg_bit1a[3]~1 ; 7 ; ; gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|safe_q[2] ; 7 ; @@ -1652,62 +1655,60 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_rom_sr:crc_rom_sr|clear_signal ; 6 ; ; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|run ; 6 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_rom_sr:hub_info_reg|word_counter[2] ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst42 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst64~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst63~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst66~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst65~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst60~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst59~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst62~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst61~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst71~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst74~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst73~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst72~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst67~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst70~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst69~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst68~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst80~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst79~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst82~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst81~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst76~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst75~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst78~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst77~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst87~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst90~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst89~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst88~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst83~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst86~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst85~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst84~1 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst55~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst58~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst57~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst56~0 ; 6 ; ; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst43 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst40 ; 6 ; ; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst41 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst46 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst47 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst44 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst45 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst35 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst32 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst33 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst34 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst42 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst40 ; 6 ; ; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst39 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst36 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst37 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst38 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst26 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst27 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst24 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst25 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst30 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst31 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst28 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst29 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst19 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst16 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst17 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst18 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst23 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst20 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst21 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst22 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst51 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst92~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst93~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst91~0 ; 6 ; ; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst48 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst49 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst51 ; 6 ; ; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst50 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst8 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst10 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst9 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst11 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst12 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst14 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst13 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst15 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst3 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst1 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst2 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst7 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst4 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst5 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst6 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|_~0 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst49 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst44 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst47 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst46 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_ff_enable52:inst124|inst45 ; 6 ; +; gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|_~1 ; 6 ; ; gA6_testbed:inst|lpm_compare:inst11|cmpr_6cg:auto_generated|aneb_result_wire[0] ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|inst107 ; 6 ; -; gA6_testbed:inst|gA6_stack52:inst4|inst107~0 ; 6 ; ; gA6_testbed:inst|gA6_stack52:inst4|lpm_mux0:inst125|lpm_mux:LPM_MUX_component|mux_v4e:auto_generated|result_node[2]~51 ; 6 ; ; gA6_testbed:inst|gA6_stack52:inst4|lpm_mux0:inst125|lpm_mux:LPM_MUX_component|mux_v4e:auto_generated|_~35 ; 6 ; ; gA6_testbed:inst|gA6_stack52:inst4|lpm_mux0:inst125|lpm_mux:LPM_MUX_component|mux_v4e:auto_generated|_~16 ; 6 ; @@ -1717,14 +1718,15 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated|safe_q[3] ; 6 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_rom_sr:hub_info_reg|word_counter[0]~20 ; 5 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_rom_sr:hub_info_reg|word_counter[0]~13 ; 5 ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~16 ; 5 ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~15 ; 5 ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~10 ; 5 ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~9 ; 5 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_rom_sr:hub_info_reg|clear_signal ; 5 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3] ; 5 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~0 ; 5 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_rom_sr:hub_info_reg|word_counter[3] ; 5 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_rom_sr:hub_info_reg|word_counter[0] ; 5 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_rom_sr:hub_info_reg|word_counter[1] ; 5 ; +; gA6_testbed:inst|gA6_stack52:inst4|inst107 ; 5 ; ; gA6_testbed:inst|gA6_modulo_13:inst5|gA6_adder6:inst5|gA6_adder:inst3|inst3~4 ; 5 ; ; gA6_testbed:inst|gA6_modulo_13:inst5|gA6_adder6:inst5|gA6_adder:inst3|inst3~3 ; 5 ; ; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_rom_sr:crc_rom_sr|WORD_SR[0]~20 ; 4 ; @@ -1746,11 +1748,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~0 ; 4 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena ; 4 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|design_hash_proc~0 ; 4 ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~9 ; 4 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1] ; 4 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg_proc~0 ; 4 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][6] ; 4 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][3] ; 4 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_rom_sr:hub_info_reg|word_counter[4] ; 4 ; +; gA6_testbed:inst|gA6_stack52:inst4|lpm_compare:inst120|cmpr_86h:auto_generated|aneb_result_wire[0] ; 4 ; ; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|offset_count~6 ; 3 ; ; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|offset_count~5 ; 3 ; ; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|offset_count~4 ; 3 ; @@ -1880,7 +1884,6 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|lpm_counter:status_advance_pointer_counter|cntr_sbi:auto_generated|safe_q[2] ; 3 ; ; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|lpm_counter:status_advance_pointer_counter|cntr_sbi:auto_generated|safe_q[1] ; 3 ; ; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|lpm_counter:status_advance_pointer_counter|cntr_sbi:auto_generated|safe_q[3] ; 3 ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~7 ; 3 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm|tms_cnt[0] ; 3 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_rom_sr:hub_info_reg|WORD_SR~6 ; 3 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[0] ; 3 ; @@ -1901,7 +1904,6 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; gA6_testbed:inst|gA6_7_segment_decoder:inst7|Mux4~3 ; 3 ; ; gA6_testbed:inst|gA6_7_segment_decoder:inst7|Mux2~4 ; 3 ; ; gA6_testbed:inst|gA6_7_segment_decoder:inst7|Mux0~4 ; 3 ; -; gA6_testbed:inst|gA6_stack52:inst4|inst108~0 ; 3 ; ; gA6_testbed:inst|gA6_7_segment_decoder:inst8|Mux1~0 ; 3 ; ; gA6_testbed:inst|gA6_7_segment_decoder:inst7|Mux3~2 ; 3 ; ; gA6_testbed:inst|gA6_7_segment_decoder:inst6|Mux6~0 ; 3 ; @@ -1911,7 +1913,6 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; gA6_testbed:inst|gA6_7_segment_decoder:inst6|Mux1~0 ; 3 ; ; gA6_testbed:inst|gA6_7_segment_decoder:inst6|Mux0~0 ; 3 ; ; gA6_testbed:inst|gA6_stack52:inst4|lpm_compare:inst119|cmpr_4nh:auto_generated|aneb_result_wire[0] ; 3 ; -; gA6_testbed:inst|gA6_stack52:inst4|lpm_compare:inst120|cmpr_86h:auto_generated|aneb_result_wire[0] ; 3 ; ; gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|safe_q[0] ; 3 ; ; gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|safe_q[0] ; 3 ; ; gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst85|dffs[0] ; 3 ; @@ -2363,10 +2364,10 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[2] ; 2 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[1] ; 2 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_rom_sr:hub_info_reg|WORD_SR~10 ; 2 ; +; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~10 ; 2 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~0 ; 2 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_rom_sr:hub_info_reg|WORD_SR~4 ; 2 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[2]~1 ; 2 ; -; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~4 ; 2 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[0] ; 2 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|Equal0~1 ; 2 ; ; sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[2] ; 2 ; @@ -2403,51 +2404,51 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; gA6_testbed:inst|gA6_7_segment_decoder:inst6|Mux4~0_wirecell ; 2 ; ; gA6_testbed:inst|lpm_compare:inst11|cmpr_6cg:auto_generated|aneb_result_wire[0]~5 ; 2 ; ; gA6_testbed:inst|lpm_compare:inst11|cmpr_6cg:auto_generated|aneb_result_wire[0]~4 ; 2 ; -; gA6_testbed:inst|lpm_compare:inst1|cmpr_6cg:auto_generated|aneb_result_wire[0]~5 ; 2 ; +; gA6_testbed:inst|lpm_compare:inst1|cmpr_6cg:auto_generated|aneb_result_wire[0]~7 ; 2 ; ; gA6_testbed:inst|gA6_modulo_13:inst5|gA6_adder6:inst5|gA6_adder:inst|inst2~0 ; 2 ; ; gA6_testbed:inst|gA6_modulo_13:inst5|gA6_adder8:inst|gA6_adder:inst7|inst3 ; 2 ; ; gA6_testbed:inst|gA6_7_segment_decoder:inst6|Mux4~0 ; 2 ; ; gA6_testbed:inst|gA6_stack52:inst4|lpm_compare:inst119|cmpr_4nh:auto_generated|aneb_result_wire[0]~0 ; 2 ; +; gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|safe_q[4] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|safe_q[1] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|safe_q[2] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|safe_q[3] ; 2 ; -; gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|safe_q[4] ; 2 ; -; gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|safe_q[8] ; 2 ; -; gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|safe_q[5] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|safe_q[6] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|safe_q[7] ; 2 ; +; gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|safe_q[8] ; 2 ; +; gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|safe_q[5] ; 2 ; +; gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|safe_q[9] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|safe_q[10] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|safe_q[11] ; 2 ; -; gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|safe_q[9] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|safe_q[12] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|safe_q[13] ; 2 ; -; gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|safe_q[16] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|safe_q[14] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|safe_q[15] ; 2 ; -; gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|safe_q[20] ; 2 ; +; gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|safe_q[16] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|safe_q[17] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|safe_q[18] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|safe_q[19] ; 2 ; +; gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated|safe_q[20] ; 2 ; +; gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|safe_q[4] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|safe_q[1] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|safe_q[2] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|safe_q[3] ; 2 ; -; gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|safe_q[4] ; 2 ; -; gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|safe_q[8] ; 2 ; -; gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|safe_q[5] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|safe_q[6] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|safe_q[7] ; 2 ; +; gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|safe_q[8] ; 2 ; +; gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|safe_q[5] ; 2 ; +; gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|safe_q[9] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|safe_q[10] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|safe_q[11] ; 2 ; -; gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|safe_q[9] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|safe_q[12] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|safe_q[13] ; 2 ; -; gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|safe_q[16] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|safe_q[14] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|safe_q[15] ; 2 ; -; gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|safe_q[20] ; 2 ; +; gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|safe_q[16] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|safe_q[17] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|safe_q[18] ; 2 ; ; gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|safe_q[19] ; 2 ; +; gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated|safe_q[20] ; 2 ; ; gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst103|dffs[0] ; 2 ; ; gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst|dffs[0] ; 2 ; ; gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst103|dffs[1] ; 2 ; @@ -2568,7 +2569,6 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|acq_data_in_pipe_reg[0][43] ; 1 ; ; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|acq_data_in_pipe_reg[1][44] ; 1 ; ; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|acq_data_in_pipe_reg[2][45] ; 1 ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|acq_data_in_pipe_reg[0][42] ; 1 ; +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ @@ -2577,8 +2577,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+------------------------+--------------------------+----------------------+-----------------+-----------------+ ; Name ; Type ; Mode ; Clock Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Port A Input Registers ; Port A Output Registers ; Port B Input Registers ; Port B Output Registers ; Size ; Implementation Port A Depth ; Implementation Port A Width ; Implementation Port B Depth ; Implementation Port B Width ; Implementation Bits ; M4Ks ; MIF ; Location ; Mixed Width RDW Mode ; Port A RDW Mode ; Port B RDW Mode ; +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+------------------------+--------------------------+----------------------+-----------------+-----------------+ -; gA6_testbed:inst|gA6_stack52:inst4|gA6_pop_enable:inst104|lpm_rom:lookup_table|altrom:srom|altsyncram:rom_block|altsyncram_f911:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 64 ; 52 ; -- ; -- ; yes ; no ; -- ; -- ; 3328 ; 64 ; 52 ; -- ; -- ; 3328 ; 2 ; gA6_popup_rom_data.mif ; M4K_X41_Y17, M4K_X17_Y17 ; Don't care ; Don't care ; Don't care ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_2q14:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 128 ; 46 ; 128 ; 46 ; yes ; no ; yes ; no ; 5888 ; 128 ; 46 ; 128 ; 46 ; 5888 ; 2 ; None ; M4K_X17_Y19, M4K_X17_Y20 ; Don't care ; Don't care ; Don't care ; +; gA6_testbed:inst|gA6_stack52:inst4|gA6_pop_enable:inst104|lpm_rom:lookup_table|altrom:srom|altsyncram:rom_block|altsyncram_f911:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 64 ; 52 ; -- ; -- ; yes ; no ; -- ; -- ; 3328 ; 64 ; 52 ; -- ; -- ; 3328 ; 2 ; gA6_popup_rom_data.mif ; M4K_X17_Y13, M4K_X17_Y11 ; Don't care ; Don't care ; Don't care ; +; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_2q14:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 128 ; 46 ; 128 ; 46 ; yes ; no ; yes ; no ; 5888 ; 128 ; 46 ; 128 ; 46 ; 5888 ; 2 ; None ; M4K_X41_Y18, M4K_X41_Y16 ; Don't care ; Don't care ; Don't care ; +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+------------------------+--------------------------+----------------------+-----------------+-----------------+ Note: Fitter may spread logical memories into multiple blocks to improve timing. The actual required RAM blocks can be found in the Fitter Resource Usage section. @@ -2588,117 +2588,117 @@ Note: Fitter may spread logical memories into multiple blocks to improve timing. +-----------------------------+------------------------+ ; Other Routing Resource Type ; Usage ; +-----------------------------+------------------------+ -; Block interconnects ; 1,941 / 54,004 ( 4 % ) ; -; C16 interconnects ; 19 / 2,100 ( < 1 % ) ; -; C4 interconnects ; 736 / 36,000 ( 2 % ) ; -; Direct links ; 443 / 54,004 ( < 1 % ) ; +; Block interconnects ; 2,097 / 54,004 ( 4 % ) ; +; C16 interconnects ; 13 / 2,100 ( < 1 % ) ; +; C4 interconnects ; 866 / 36,000 ( 2 % ) ; +; Direct links ; 392 / 54,004 ( < 1 % ) ; ; Global clocks ; 6 / 16 ( 38 % ) ; -; Local interconnects ; 1,101 / 18,752 ( 6 % ) ; -; R24 interconnects ; 36 / 1,900 ( 2 % ) ; -; R4 interconnects ; 1,099 / 46,920 ( 2 % ) ; +; Local interconnects ; 1,273 / 18,752 ( 7 % ) ; +; R24 interconnects ; 49 / 1,900 ( 3 % ) ; +; R4 interconnects ; 1,325 / 46,920 ( 3 % ) ; +-----------------------------+------------------------+ +-----------------------------------------------------------------------------+ ; LAB Logic Elements ; +---------------------------------------------+-------------------------------+ -; Number of Logic Elements (Average = 12.95) ; Number of LABs (Total = 133) ; +; Number of Logic Elements (Average = 13.49) ; Number of LABs (Total = 151) ; +---------------------------------------------+-------------------------------+ -; 1 ; 4 ; -; 2 ; 2 ; -; 3 ; 1 ; +; 1 ; 5 ; +; 2 ; 1 ; +; 3 ; 3 ; ; 4 ; 2 ; -; 5 ; 3 ; -; 6 ; 3 ; +; 5 ; 2 ; +; 6 ; 0 ; ; 7 ; 4 ; -; 8 ; 5 ; -; 9 ; 4 ; +; 8 ; 4 ; +; 9 ; 2 ; ; 10 ; 6 ; -; 11 ; 3 ; -; 12 ; 4 ; -; 13 ; 2 ; -; 14 ; 12 ; -; 15 ; 17 ; -; 16 ; 61 ; +; 11 ; 2 ; +; 12 ; 5 ; +; 13 ; 3 ; +; 14 ; 16 ; +; 15 ; 16 ; +; 16 ; 80 ; +---------------------------------------------+-------------------------------+ +--------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+-------------------------------+ -; LAB-wide Signals (Average = 2.24) ; Number of LABs (Total = 133) ; +; LAB-wide Signals (Average = 2.45) ; Number of LABs (Total = 151) ; +------------------------------------+-------------------------------+ -; 1 Async. clear ; 85 ; -; 1 Clock ; 101 ; +; 1 Async. clear ; 102 ; +; 1 Clock ; 121 ; ; 1 Clock enable ; 41 ; -; 1 Sync. load ; 20 ; -; 2 Clock enables ; 27 ; -; 2 Clocks ; 24 ; +; 1 Sync. load ; 37 ; +; 2 Clock enables ; 46 ; +; 2 Clocks ; 23 ; +------------------------------------+-------------------------------+ +------------------------------------------------------------------------------+ ; LAB Signals Sourced ; +----------------------------------------------+-------------------------------+ -; Number of Signals Sourced (Average = 20.73) ; Number of LABs (Total = 133) ; +; Number of Signals Sourced (Average = 20.36) ; Number of LABs (Total = 151) ; +----------------------------------------------+-------------------------------+ ; 0 ; 1 ; -; 1 ; 1 ; +; 1 ; 0 ; ; 2 ; 4 ; -; 3 ; 0 ; -; 4 ; 0 ; +; 3 ; 1 ; +; 4 ; 1 ; ; 5 ; 0 ; ; 6 ; 3 ; ; 7 ; 0 ; -; 8 ; 2 ; -; 9 ; 2 ; +; 8 ; 3 ; +; 9 ; 1 ; ; 10 ; 2 ; -; 11 ; 1 ; +; 11 ; 0 ; ; 12 ; 1 ; ; 13 ; 2 ; -; 14 ; 5 ; -; 15 ; 4 ; -; 16 ; 7 ; -; 17 ; 6 ; -; 18 ; 6 ; -; 19 ; 5 ; -; 20 ; 1 ; -; 21 ; 3 ; -; 22 ; 7 ; -; 23 ; 8 ; -; 24 ; 14 ; -; 25 ; 4 ; -; 26 ; 12 ; -; 27 ; 7 ; -; 28 ; 14 ; -; 29 ; 6 ; +; 14 ; 2 ; +; 15 ; 1 ; +; 16 ; 11 ; +; 17 ; 9 ; +; 18 ; 12 ; +; 19 ; 8 ; +; 20 ; 6 ; +; 21 ; 8 ; +; 22 ; 13 ; +; 23 ; 4 ; +; 24 ; 15 ; +; 25 ; 1 ; +; 26 ; 13 ; +; 27 ; 11 ; +; 28 ; 10 ; +; 29 ; 3 ; ; 30 ; 1 ; -; 31 ; 2 ; -; 32 ; 2 ; +; 31 ; 0 ; +; 32 ; 4 ; +----------------------------------------------+-------------------------------+ +---------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+-------------------------------+ -; Number of Signals Sourced Out (Average = 6.17) ; Number of LABs (Total = 133) ; +; Number of Signals Sourced Out (Average = 6.08) ; Number of LABs (Total = 151) ; +-------------------------------------------------+-------------------------------+ ; 0 ; 1 ; -; 1 ; 14 ; -; 2 ; 23 ; -; 3 ; 21 ; -; 4 ; 11 ; -; 5 ; 3 ; -; 6 ; 7 ; -; 7 ; 5 ; -; 8 ; 10 ; -; 9 ; 1 ; -; 10 ; 4 ; -; 11 ; 4 ; -; 12 ; 13 ; -; 13 ; 6 ; -; 14 ; 4 ; -; 15 ; 3 ; +; 1 ; 15 ; +; 2 ; 20 ; +; 3 ; 17 ; +; 4 ; 16 ; +; 5 ; 7 ; +; 6 ; 9 ; +; 7 ; 7 ; +; 8 ; 15 ; +; 9 ; 13 ; +; 10 ; 8 ; +; 11 ; 9 ; +; 12 ; 6 ; +; 13 ; 2 ; +; 14 ; 2 ; +; 15 ; 1 ; ; 16 ; 1 ; ; 17 ; 1 ; ; 18 ; 0 ; @@ -2710,41 +2710,39 @@ Note: Fitter may spread logical memories into multiple blocks to improve timing. +------------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+-------------------------------+ -; Number of Distinct Inputs (Average = 13.42) ; Number of LABs (Total = 133) ; +; Number of Distinct Inputs (Average = 13.13) ; Number of LABs (Total = 151) ; +----------------------------------------------+-------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; -; 2 ; 11 ; -; 3 ; 11 ; -; 4 ; 7 ; -; 5 ; 5 ; -; 6 ; 4 ; -; 7 ; 7 ; -; 8 ; 6 ; -; 9 ; 6 ; -; 10 ; 6 ; -; 11 ; 9 ; -; 12 ; 3 ; +; 2 ; 9 ; +; 3 ; 10 ; +; 4 ; 10 ; +; 5 ; 7 ; +; 6 ; 5 ; +; 7 ; 3 ; +; 8 ; 7 ; +; 9 ; 8 ; +; 10 ; 5 ; +; 11 ; 5 ; +; 12 ; 7 ; ; 13 ; 1 ; ; 14 ; 2 ; -; 15 ; 3 ; -; 16 ; 1 ; -; 17 ; 5 ; -; 18 ; 4 ; -; 19 ; 2 ; -; 20 ; 3 ; -; 21 ; 4 ; -; 22 ; 2 ; -; 23 ; 0 ; -; 24 ; 9 ; -; 25 ; 2 ; +; 15 ; 2 ; +; 16 ; 2 ; +; 17 ; 7 ; +; 18 ; 7 ; +; 19 ; 8 ; +; 20 ; 14 ; +; 21 ; 9 ; +; 22 ; 8 ; +; 23 ; 4 ; +; 24 ; 6 ; +; 25 ; 1 ; ; 26 ; 0 ; -; 27 ; 3 ; -; 28 ; 4 ; -; 29 ; 2 ; -; 30 ; 6 ; -; 31 ; 3 ; -; 32 ; 1 ; +; 27 ; 1 ; +; 28 ; 1 ; +; 29 ; 0 ; +; 30 ; 1 ; +----------------------------------------------+-------------------------------+ @@ -2863,20 +2861,20 @@ Info (176215): I/O bank details before I/O pin placement Info (176213): I/O bank number 6 does not use VREF pins and has 3.3V VCCIO pins. 6 total pin(s) used -- 30 pins available Info (176213): I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 38 pins available Info (176213): I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 41 pins available -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:03 Info (170189): Fitter placement preparation operations beginning -Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:01 +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:02 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 +Info (170192): Fitter placement operations ending: elapsed time is 00:00:02 Info (170193): Fitter routing operations beginning Info (170195): Router estimated average interconnect usage is 2% of the available device resources - Info (170196): Router estimated peak interconnect usage is 7% of the available device resources in the region that extends from location X25_Y14 to location X37_Y27 -Info (170194): Fitter routing operations ending: elapsed time is 00:00:02 + Info (170196): Router estimated peak interconnect usage is 5% of the available device resources in the region that extends from location X25_Y14 to location X37_Y27 +Info (170194): Fitter routing operations ending: elapsed time is 00:00:05 Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. Info (170201): Optimizations that may affect the design's routability were skipped Info (170200): Optimizations that may affect the design's timing were skipped -Info (11888): Total time spent on timing analysis during the Fitter is 0.36 seconds. +Info (11888): Total time spent on timing analysis during the Fitter is 0.97 seconds. Info (306004): Started post-fitting delay annotation Warning (306006): Found 42 output pins without output pin load capacitance assignment Info (306007): Pin "empty" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis @@ -2924,15 +2922,15 @@ Warning (306006): Found 42 output pins without output pin load capacitance assig Info (306005): Delay annotation completed successfully Info (306004): Started post-fitting delay annotation Info (306005): Delay annotation completed successfully -Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:01 +Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:04 Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. Info (144001): Generated suppressed messages file C:/home/abbas/dsd_A6/lab3/output_files/gA6_lab3.fit.smsg Info: Quartus II 64-Bit Fitter was successful. 0 errors, 8 warnings - Info: Peak virtual memory: 971 megabytes - Info: Processing ended: Wed Nov 01 22:48:47 2017 - Info: Elapsed time: 00:00:11 - Info: Total CPU time (on all processors): 00:00:12 + Info: Peak virtual memory: 974 megabytes + Info: Processing ended: Thu Nov 02 02:55:17 2017 + Info: Elapsed time: 00:00:31 + Info: Total CPU time (on all processors): 00:00:28 +----------------------------+ diff --git a/lab3/output_files/gA6_lab3.fit.summary b/lab3/output_files/gA6_lab3.fit.summary index 3c3efb9..89d83b0 100644 --- a/lab3/output_files/gA6_lab3.fit.summary +++ b/lab3/output_files/gA6_lab3.fit.summary @@ -1,12 +1,12 @@ -Fitter Status : Successful - Wed Nov 01 22:48:46 2017 +Fitter Status : Successful - Thu Nov 02 02:55:14 2017 Quartus II 64-Bit Version : 13.0.0 Build 156 04/24/2013 SJ Web Edition Revision Name : gA6_lab3 Top-level Entity Name : gA6_lab3 Family : Cyclone II Device : EP2C20F484C7 Timing Models : Final -Total logic elements : 1,722 / 18,752 ( 9 % ) - Total combinational functions : 1,210 / 18,752 ( 6 % ) +Total logic elements : 2,037 / 18,752 ( 11 % ) + Total combinational functions : 1,213 / 18,752 ( 6 % ) Dedicated logic registers : 1,210 / 18,752 ( 6 % ) Total registers : 1210 Total pins : 54 / 315 ( 17 % ) diff --git a/lab3/output_files/gA6_lab3.flow.rpt b/lab3/output_files/gA6_lab3.flow.rpt index 1dc4e10..f6e71a6 100644 --- a/lab3/output_files/gA6_lab3.flow.rpt +++ b/lab3/output_files/gA6_lab3.flow.rpt @@ -1,5 +1,5 @@ Flow report for gA6_lab3 -Wed Nov 01 22:48:55 2017 +Thu Nov 02 02:55:39 2017 Quartus II 64-Bit Version 13.0.0 Build 156 04/24/2013 SJ Web Edition @@ -40,15 +40,15 @@ applicable agreement for further details. +---------------------------------------------------------------------------------+ ; Flow Summary ; +------------------------------------+--------------------------------------------+ -; Flow Status ; Successful - Wed Nov 01 22:48:55 2017 ; +; Flow Status ; Successful - Thu Nov 02 02:55:39 2017 ; ; Quartus II 64-Bit Version ; 13.0.0 Build 156 04/24/2013 SJ Web Edition ; ; Revision Name ; gA6_lab3 ; ; Top-level Entity Name ; gA6_lab3 ; ; Family ; Cyclone II ; ; Device ; EP2C20F484C7 ; ; Timing Models ; Final ; -; Total logic elements ; 1,722 / 18,752 ( 9 % ) ; -; Total combinational functions ; 1,210 / 18,752 ( 6 % ) ; +; Total logic elements ; 2,037 / 18,752 ( 11 % ) ; +; Total combinational functions ; 1,213 / 18,752 ( 6 % ) ; ; Dedicated logic registers ; 1,210 / 18,752 ( 6 % ) ; ; Total registers ; 1210 ; ; Total pins ; 54 / 315 ( 17 % ) ; @@ -64,7 +64,7 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 11/01/2017 22:48:19 ; +; Start date & time ; 11/02/2017 02:53:42 ; ; Main task ; Compilation ; ; Revision Name ; gA6_lab3 ; +-------------------+---------------------+ @@ -75,7 +75,7 @@ applicable agreement for further details. +-------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------------+-------------+------------------+ ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; +-------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------------+-------------+------------------+ -; COMPILER_SIGNATURE_ID ; 88491850560622.150959089912352 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 88491850560622.150960562012752 ; -- ; -- ; -- ; ; EDA_GENERATE_FUNCTIONAL_NETLIST ; On ; -- ; -- ; eda_simulation ; ; EDA_OUTPUT_DATA_FORMAT ; Verilog Hdl ; -- ; -- ; eda_simulation ; ; EDA_SIMULATION_TOOL ; ModelSim-Altera (Verilog) ; ; -- ; -- ; @@ -123,12 +123,12 @@ applicable agreement for further details. +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:15 ; 1.0 ; 638 MB ; 00:00:14 ; -; Fitter ; 00:00:10 ; 1.3 ; 971 MB ; 00:00:11 ; -; Assembler ; 00:00:02 ; 1.0 ; 495 MB ; 00:00:02 ; -; TimeQuest Timing Analyzer ; 00:00:02 ; 1.0 ; 522 MB ; 00:00:01 ; -; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 472 MB ; 00:00:01 ; -; Total ; 00:00:30 ; -- ; -- ; 00:00:29 ; +; Analysis & Synthesis ; 00:00:56 ; 1.0 ; 629 MB ; 00:00:31 ; +; Fitter ; 00:00:28 ; 1.2 ; 974 MB ; 00:00:26 ; +; Assembler ; 00:00:05 ; 1.0 ; 507 MB ; 00:00:05 ; +; TimeQuest Timing Analyzer ; 00:00:05 ; 1.0 ; 534 MB ; 00:00:04 ; +; EDA Netlist Writer ; 00:00:03 ; 1.0 ; 473 MB ; 00:00:03 ; +; Total ; 00:01:37 ; -- ; -- ; 00:01:09 ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/lab3/output_files/gA6_lab3.jdi b/lab3/output_files/gA6_lab3.jdi index b5e612a..6b13fd1 100644 --- a/lab3/output_files/gA6_lab3.jdi +++ b/lab3/output_files/gA6_lab3.jdi @@ -1,6 +1,6 @@ - + diff --git a/lab3/output_files/gA6_lab3.map.rpt b/lab3/output_files/gA6_lab3.map.rpt index 415eba1..2dec6e2 100644 --- a/lab3/output_files/gA6_lab3.map.rpt +++ b/lab3/output_files/gA6_lab3.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for gA6_lab3 -Wed Nov 01 22:48:35 2017 +Thu Nov 02 02:54:40 2017 Quartus II 64-Bit Version 13.0.0 Build 156 04/24/2013 SJ Web Edition @@ -15,132 +15,131 @@ Quartus II 64-Bit Version 13.0.0 Build 156 04/24/2013 SJ Web Edition 7. Analysis & Synthesis Resource Utilization by Entity 8. Analysis & Synthesis RAM Summary 9. Analysis & Synthesis IP Cores Summary - 10. Registers Removed During Synthesis - 11. General Register Statistics - 12. Inverted Register Statistics - 13. Multiplexer Restructuring Statistics (Restructuring Performed) - 14. Source assignments for gA6_testbed:inst|gA6_stack52:inst4|gA6_pop_enable:inst104|LPM_ROM:lookup_table|altrom:srom|altsyncram:rom_block|altsyncram_f911:auto_generated - 15. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_COMPARE:inst120 - 16. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_COUNTER:inst115 - 17. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_COMPARE:inst119 - 18. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|lpm_mux0:inst125|LPM_MUX:LPM_MUX_component - 19. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst - 20. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|gA6_pop_enable:inst104|LPM_ROM:lookup_table - 21. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst1 - 22. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst2 - 23. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst3 - 24. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst5 - 25. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst4 - 26. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst7 - 27. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst6 - 28. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst9 - 29. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst8 - 30. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst11 - 31. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst10 - 32. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst13 - 33. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst12 - 34. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst14 - 35. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst15 - 36. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst17 - 37. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst16 - 38. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst19 - 39. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst18 - 40. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst21 - 41. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst20 - 42. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst23 - 43. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst22 - 44. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst25 - 45. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst24 - 46. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst27 - 47. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst26 - 48. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst28 - 49. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst29 - 50. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst31 - 51. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst30 - 52. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst33 - 53. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst32 - 54. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst35 - 55. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst34 - 56. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst37 - 57. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst36 - 58. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst39 - 59. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst38 - 60. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst41 - 61. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst40 - 62. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst42 - 63. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst43 - 64. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst45 - 65. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst44 - 66. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst47 - 67. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst46 - 68. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst49 - 69. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst48 - 70. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst51 - 71. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst50 - 72. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst53 - 73. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst52 - 74. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst54 - 75. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst55 - 76. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst57 - 77. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst56 - 78. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst59 - 79. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst58 - 80. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst61 - 81. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst60 - 82. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst63 - 83. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst62 - 84. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst65 - 85. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst64 - 86. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst67 - 87. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst66 - 88. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst68 - 89. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst69 - 90. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst71 - 91. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst70 - 92. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst73 - 93. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst72 - 94. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst75 - 95. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst74 - 96. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst77 - 97. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst76 - 98. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst79 - 99. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst78 -100. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst81 -101. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst80 -102. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst82 -103. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst83 -104. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst85 -105. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst84 -106. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst87 -107. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst86 -108. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst89 -109. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst88 -110. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst91 -111. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst90 -112. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst93 -113. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst92 -114. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst95 -115. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst94 -116. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst96 -117. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst97 -118. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst99 -119. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst98 -120. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst101 -121. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst100 -122. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst103 -123. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst102 -124. Parameter Settings for User Entity Instance: gA6_testbed:inst|LPM_COMPARE:inst1 -125. Parameter Settings for User Entity Instance: gA6_testbed:inst|LPM_COUNTER:inst -126. Parameter Settings for User Entity Instance: gA6_testbed:inst|lpm_constant:inst2 -127. Parameter Settings for User Entity Instance: gA6_testbed:inst|LPM_COMPARE:inst11 -128. Parameter Settings for User Entity Instance: gA6_testbed:inst|LPM_COUNTER:inst12 -129. Parameter Settings for User Entity Instance: gA6_testbed:inst|lpm_constant:inst13 -130. Parameter Settings for User Entity Instance: gA6_testbed:inst|lpm_constant:inst3 -131. Parameter Settings for Inferred Entity Instance: sld_signaltap:auto_signaltap_0 -132. SignalTap II Logic Analyzer Settings -133. Elapsed Time Per Partition -134. Connections to In-System Debugging Instance "auto_signaltap_0" -135. Analysis & Synthesis Messages + 10. General Register Statistics + 11. Inverted Register Statistics + 12. Multiplexer Restructuring Statistics (Restructuring Performed) + 13. Source assignments for gA6_testbed:inst|gA6_stack52:inst4|gA6_pop_enable:inst104|LPM_ROM:lookup_table|altrom:srom|altsyncram:rom_block|altsyncram_f911:auto_generated + 14. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_COMPARE:inst120 + 15. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_COUNTER:inst115 + 16. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_COMPARE:inst119 + 17. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|lpm_mux0:inst125|LPM_MUX:LPM_MUX_component + 18. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst + 19. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|gA6_pop_enable:inst104|LPM_ROM:lookup_table + 20. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst1 + 21. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst2 + 22. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst3 + 23. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst5 + 24. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst4 + 25. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst7 + 26. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst6 + 27. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst9 + 28. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst8 + 29. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst11 + 30. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst10 + 31. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst13 + 32. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst12 + 33. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst14 + 34. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst15 + 35. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst17 + 36. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst16 + 37. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst19 + 38. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst18 + 39. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst21 + 40. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst20 + 41. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst23 + 42. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst22 + 43. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst25 + 44. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst24 + 45. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst27 + 46. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst26 + 47. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst28 + 48. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst29 + 49. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst31 + 50. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst30 + 51. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst33 + 52. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst32 + 53. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst35 + 54. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst34 + 55. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst37 + 56. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst36 + 57. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst39 + 58. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst38 + 59. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst41 + 60. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst40 + 61. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst42 + 62. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst43 + 63. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst45 + 64. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst44 + 65. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst47 + 66. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst46 + 67. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst49 + 68. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst48 + 69. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst51 + 70. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst50 + 71. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst53 + 72. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst52 + 73. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst54 + 74. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst55 + 75. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst57 + 76. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst56 + 77. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst59 + 78. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst58 + 79. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst61 + 80. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst60 + 81. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst63 + 82. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst62 + 83. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst65 + 84. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst64 + 85. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst67 + 86. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst66 + 87. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst68 + 88. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst69 + 89. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst71 + 90. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst70 + 91. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst73 + 92. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst72 + 93. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst75 + 94. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst74 + 95. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst77 + 96. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst76 + 97. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst79 + 98. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst78 + 99. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst81 +100. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst80 +101. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst82 +102. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst83 +103. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst85 +104. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst84 +105. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst87 +106. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst86 +107. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst89 +108. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst88 +109. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst91 +110. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst90 +111. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst93 +112. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst92 +113. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst95 +114. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst94 +115. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst96 +116. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst97 +117. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst99 +118. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst98 +119. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst101 +120. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst100 +121. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|LPM_FF:inst103 +122. Parameter Settings for User Entity Instance: gA6_testbed:inst|gA6_stack52:inst4|BUSMUX:inst102 +123. Parameter Settings for User Entity Instance: gA6_testbed:inst|LPM_COMPARE:inst1 +124. Parameter Settings for User Entity Instance: gA6_testbed:inst|LPM_COUNTER:inst +125. Parameter Settings for User Entity Instance: gA6_testbed:inst|lpm_constant:inst2 +126. Parameter Settings for User Entity Instance: gA6_testbed:inst|LPM_COMPARE:inst11 +127. Parameter Settings for User Entity Instance: gA6_testbed:inst|LPM_COUNTER:inst12 +128. Parameter Settings for User Entity Instance: gA6_testbed:inst|lpm_constant:inst13 +129. Parameter Settings for User Entity Instance: gA6_testbed:inst|lpm_constant:inst3 +130. Parameter Settings for Inferred Entity Instance: sld_signaltap:auto_signaltap_0 +131. SignalTap II Logic Analyzer Settings +132. Elapsed Time Per Partition +133. Connections to In-System Debugging Instance "auto_signaltap_0" +134. Analysis & Synthesis Messages @@ -166,13 +165,13 @@ applicable agreement for further details. +---------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +------------------------------------+--------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Wed Nov 01 22:48:34 2017 ; +; Analysis & Synthesis Status ; Successful - Thu Nov 02 02:54:40 2017 ; ; Quartus II 64-Bit Version ; 13.0.0 Build 156 04/24/2013 SJ Web Edition ; ; Revision Name ; gA6_lab3 ; ; Top-level Entity Name ; gA6_lab3 ; ; Family ; Cyclone II ; -; Total logic elements ; 1,754 ; -; Total combinational functions ; 1,208 ; +; Total logic elements ; 1,757 ; +; Total combinational functions ; 1,211 ; ; Dedicated logic registers ; 1,210 ; ; Total registers ; 1210 ; ; Total pins ; 54 ; @@ -374,16 +373,16 @@ applicable agreement for further details. +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Estimated Total logic elements ; 1,754 ; +; Estimated Total logic elements ; 1,757 ; ; ; ; -; Total combinational functions ; 1208 ; +; Total combinational functions ; 1211 ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 589 ; -; -- 3 input functions ; 458 ; -; -- <=2 input functions ; 161 ; +; -- 4 input functions ; 887 ; +; -- 3 input functions ; 175 ; +; -- <=2 input functions ; 149 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 1119 ; +; -- normal mode ; 1122 ; ; -- arithmetic mode ; 89 ; ; ; ; ; Total registers ; 1210 ; @@ -395,8 +394,8 @@ applicable agreement for further details. ; Embedded Multiplier 9-bit elements ; 0 ; ; Maximum fan-out node ; clk ; ; Maximum fan-out ; 926 ; -; Total fan-out ; 9611 ; -; Average fan-out ; 3.73 ; +; Total fan-out ; 9930 ; +; Average fan-out ; 3.85 ; +---------------------------------------------+-------+ @@ -405,8 +404,8 @@ applicable agreement for further details. +---------------------------------------------------------------------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ ; Compilation Hierarchy Node ; LC Combinationals ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Library Name ; +---------------------------------------------------------------------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ -; |gA6_lab3 ; 1208 (2) ; 1210 (0) ; 9216 ; 0 ; 0 ; 0 ; 54 ; 0 ; |gA6_lab3 ; ; -; |gA6_testbed:inst| ; 736 (0) ; 360 (0) ; 3328 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst ; ; +; |gA6_lab3 ; 1211 (2) ; 1210 (0) ; 9216 ; 0 ; 0 ; 0 ; 54 ; 0 ; |gA6_lab3 ; ; +; |gA6_testbed:inst| ; 737 (0) ; 360 (0) ; 3328 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst ; ; ; |gA6_7_segment_decoder:inst6| ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|gA6_7_segment_decoder:inst6 ; ; ; |gA6_7_segment_decoder:inst7| ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|gA6_7_segment_decoder:inst7 ; ; ; |gA6_7_segment_decoder:inst8| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|gA6_7_segment_decoder:inst8 ; ; @@ -419,7 +418,7 @@ applicable agreement for further details. ; |gA6_adder8:inst| ; 4 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|gA6_modulo_13:inst5|gA6_adder8:inst ; ; ; |gA6_adder:inst5| ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|gA6_modulo_13:inst5|gA6_adder8:inst|gA6_adder:inst5 ; ; ; |gA6_adder:inst7| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|gA6_modulo_13:inst5|gA6_adder8:inst|gA6_adder:inst7 ; ; -; |gA6_stack52:inst4| ; 639 (6) ; 318 (0) ; 3328 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4 ; ; +; |gA6_stack52:inst4| ; 639 (5) ; 318 (0) ; 3328 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4 ; ; ; |busmux:inst100| ; 6 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst100 ; ; ; |lpm_mux:$00000| ; 6 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst100|lpm_mux:$00000 ; ; ; |mux_qmc:auto_generated| ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|busmux:inst100|lpm_mux:$00000|mux_qmc:auto_generated ; ; @@ -586,8 +585,8 @@ applicable agreement for further details. ; |cmpr_4nh:auto_generated| ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_compare:inst119|cmpr_4nh:auto_generated ; ; ; |lpm_compare:inst120| ; 2 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_compare:inst120 ; ; ; |cmpr_86h:auto_generated| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_compare:inst120|cmpr_86h:auto_generated ; ; -; |lpm_counter:inst115| ; 14 (0) ; 6 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115 ; ; -; |cntr_p2i:auto_generated| ; 14 (14) ; 6 (6) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated ; ; +; |lpm_counter:inst115| ; 15 (0) ; 6 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115 ; ; +; |cntr_p2i:auto_generated| ; 15 (15) ; 6 (6) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_counter:inst115|cntr_p2i:auto_generated ; ; ; |lpm_ff:inst101| ; 0 (0) ; 6 (6) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst101 ; ; ; |lpm_ff:inst103| ; 0 (0) ; 6 (6) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst103 ; ; ; |lpm_ff:inst11| ; 0 (0) ; 6 (6) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_ff:inst11 ; ; @@ -645,14 +644,14 @@ applicable agreement for further details. ; |mux_v4e:auto_generated| ; 249 (249) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|gA6_stack52:inst4|lpm_mux0:inst125|lpm_mux:LPM_MUX_component|mux_v4e:auto_generated ; ; ; |lpm_compare:inst11| ; 7 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|lpm_compare:inst11 ; ; ; |cmpr_6cg:auto_generated| ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|lpm_compare:inst11|cmpr_6cg:auto_generated ; ; -; |lpm_compare:inst1| ; 7 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|lpm_compare:inst1 ; ; -; |cmpr_6cg:auto_generated| ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|lpm_compare:inst1|cmpr_6cg:auto_generated ; ; +; |lpm_compare:inst1| ; 8 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|lpm_compare:inst1 ; ; +; |cmpr_6cg:auto_generated| ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|lpm_compare:inst1|cmpr_6cg:auto_generated ; ; ; |lpm_counter:inst12| ; 21 (0) ; 21 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|lpm_counter:inst12 ; ; ; |cntr_h1h:auto_generated| ; 21 (21) ; 21 (21) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|lpm_counter:inst12|cntr_h1h:auto_generated ; ; ; |lpm_counter:inst| ; 21 (0) ; 21 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|lpm_counter:inst ; ; ; |cntr_h1h:auto_generated| ; 21 (21) ; 21 (21) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|gA6_testbed:inst|lpm_counter:inst|cntr_h1h:auto_generated ; ; -; |sld_hub:auto_hub| ; 116 (1) ; 86 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|sld_hub:auto_hub ; ; -; |sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst| ; 115 (77) ; 86 (58) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst ; ; +; |sld_hub:auto_hub| ; 118 (1) ; 86 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|sld_hub:auto_hub ; ; +; |sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst| ; 117 (79) ; 86 (58) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst ; ; ; |sld_rom_sr:hub_info_reg| ; 21 (21) ; 9 (9) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_rom_sr:hub_info_reg ; ; ; |sld_shadow_jsm:shadow_jsm| ; 17 (17) ; 19 (19) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_shadow_jsm:shadow_jsm ; ; ; |sld_signaltap:auto_signaltap_0| ; 354 (1) ; 764 (92) ; 5888 ; 0 ; 0 ; 0 ; 0 ; 0 ; |gA6_lab3|sld_signaltap:auto_signaltap_0 ; ; @@ -757,30 +756,6 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------+--------------+---------+--------------+--------------+---------------------------------------------------------------+----------------------------------------+ -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Registers Removed During Synthesis ; -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Register name ; Reason for Removal ; -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|segment_shift_clk_ena ; Merged with sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|buffer_write_enable_delayed ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|\buffer_manager:offset_count[0] ; Merged with sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|\buffer_manager:next_address[0] ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|\buffer_manager:offset_count[1] ; Merged with sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|\buffer_manager:next_address[1] ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|\buffer_manager:offset_count[2] ; Merged with sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|\buffer_manager:next_address[2] ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|\buffer_manager:offset_count[3] ; Merged with sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|\buffer_manager:next_address[3] ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|\buffer_manager:offset_count[4] ; Merged with sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|\buffer_manager:next_address[4] ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|\buffer_manager:offset_count[5] ; Merged with sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|\buffer_manager:next_address[5] ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|\buffer_manager:offset_count[6] ; Merged with sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|\buffer_manager:next_address[6] ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|current_offset_delayed[0] ; Merged with sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|buffer_write_address_delayed[0] ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|current_offset_delayed[1] ; Merged with sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|buffer_write_address_delayed[1] ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|current_offset_delayed[2] ; Merged with sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|buffer_write_address_delayed[2] ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|current_offset_delayed[3] ; Merged with sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|buffer_write_address_delayed[3] ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|current_offset_delayed[4] ; Merged with sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|buffer_write_address_delayed[4] ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|current_offset_delayed[5] ; Merged with sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|buffer_write_address_delayed[5] ; -; sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|current_offset_delayed[6] ; Merged with sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|buffer_write_address_delayed[6] ; -; Total Number of Removed Registers = 15 ; ; -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - +------------------------------------------------------+ ; General Register Statistics ; +----------------------------------------------+-------+ @@ -817,22 +792,18 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Multiplexer Restructuring Statistics (Restructuring Performed) ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |gA6_lab3|sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|counter[0] ; -; 5:1 ; 4 bits ; 12 LEs ; 4 LEs ; 8 LEs ; Yes ; |gA6_lab3|sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_rom_sr:crc_rom_sr|word_counter[3] ; -; 12:1 ; 4 bits ; 32 LEs ; 24 LEs ; 8 LEs ; Yes ; |gA6_lab3|sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_rom_sr:crc_rom_sr|WORD_SR[0] ; -; 3:1 ; 7 bits ; 14 LEs ; 7 LEs ; 7 LEs ; No ; |gA6_lab3|sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|offset_count ; -; 3:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |gA6_lab3|sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg[0] ; -; 4:1 ; 5 bits ; 10 LEs ; 5 LEs ; 5 LEs ; Yes ; |gA6_lab3|sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4] ; -; 5:1 ; 5 bits ; 15 LEs ; 5 LEs ; 10 LEs ; Yes ; |gA6_lab3|sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_rom_sr:hub_info_reg|word_counter[0] ; -; 6:1 ; 7 bits ; 28 LEs ; 7 LEs ; 21 LEs ; Yes ; |gA6_lab3|sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[2] ; -; 34:1 ; 4 bits ; 88 LEs ; 60 LEs ; 28 LEs ; Yes ; |gA6_lab3|sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3] ; -; 20:1 ; 4 bits ; 52 LEs ; 36 LEs ; 16 LEs ; Yes ; |gA6_lab3|sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_rom_sr:hub_info_reg|WORD_SR[0] ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Multiplexer Restructuring Statistics (Restructuring Performed) ; ++--------------------+-----------+---------------+----------------------+------------------------+------------+-----------------------------------------------------------------------------------------------------------------+ +; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; ++--------------------+-----------+---------------+----------------------+------------------------+------------+-----------------------------------------------------------------------------------------------------------------+ +; 3:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |gA6_lab3|sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg[0] ; +; 4:1 ; 5 bits ; 10 LEs ; 5 LEs ; 5 LEs ; Yes ; |gA6_lab3|sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4] ; +; 5:1 ; 5 bits ; 15 LEs ; 5 LEs ; 10 LEs ; Yes ; |gA6_lab3|sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_rom_sr:hub_info_reg|word_counter[0] ; +; 6:1 ; 7 bits ; 28 LEs ; 7 LEs ; 21 LEs ; Yes ; |gA6_lab3|sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[2] ; +; 34:1 ; 4 bits ; 88 LEs ; 56 LEs ; 32 LEs ; Yes ; |gA6_lab3|sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3] ; +; 20:1 ; 4 bits ; 52 LEs ; 36 LEs ; 16 LEs ; Yes ; |gA6_lab3|sld_hub:auto_hub|sld_jtag_hub:\jtag_hub_gen:sld_jtag_hub_inst|sld_rom_sr:hub_info_reg|WORD_SR[0] ; ++--------------------+-----------+---------------+----------------------+------------------------+------------+-----------------------------------------------------------------------------------------------------------------+ +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2523,9 +2494,9 @@ Note: In order to hide this table in the UI and the text report file, please set ; Parameter Name ; Value ; Type ; +--------------------+------------------+------------------------------------------+ ; LPM_WIDTH ; 21 ; Signed Integer ; -; LPM_CVALUE ; 20000000 ; Untyped ; +; LPM_CVALUE ; 2000 ; Untyped ; ; ENABLE_RUNTIME_MOD ; NO ; Untyped ; -; CBXI_PARAMETER ; lpm_constant_mh4 ; Untyped ; +; CBXI_PARAMETER ; lpm_constant_ph4 ; Untyped ; +--------------------+------------------+------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -2587,9 +2558,9 @@ Note: In order to hide this table in the UI and the text report file, please set ; Parameter Name ; Value ; Type ; +--------------------+------------------+-------------------------------------------+ ; LPM_WIDTH ; 21 ; Signed Integer ; -; LPM_CVALUE ; 20000000 ; Untyped ; +; LPM_CVALUE ; 2000 ; Untyped ; ; ENABLE_RUNTIME_MOD ; NO ; Untyped ; -; CBXI_PARAMETER ; lpm_constant_mh4 ; Untyped ; +; CBXI_PARAMETER ; lpm_constant_ph4 ; Untyped ; +--------------------+------------------+-------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -2676,15 +2647,14 @@ Note: In order to hide this table in the UI and the text report file, please set +----------------+------------------+---------------------+------------------+--------------+----------+------------------------+----------------------+--------------------+-----------------------------+-----------------+------------------+--------------------------+ -+-----------------------------------------------+ -; Elapsed Time Per Partition ; -+--------------------------------+--------------+ -; Partition Name ; Elapsed Time ; -+--------------------------------+--------------+ -; sld_signaltap:auto_signaltap_0 ; 00:00:01 ; -; Top ; 00:00:03 ; -; sld_hub:auto_hub ; 00:00:00 ; -+--------------------------------+--------------+ ++---------------------------------+ +; Elapsed Time Per Partition ; ++------------------+--------------+ +; Partition Name ; Elapsed Time ; ++------------------+--------------+ +; Top ; 00:00:13 ; +; sld_hub:auto_hub ; 00:00:01 ; ++------------------+--------------+ +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2794,7 +2764,7 @@ Note: In order to hide this table in the UI and the text report file, please set Info: ******************************************************************* Info: Running Quartus II 64-Bit Analysis & Synthesis Info: Version 13.0.0 Build 156 04/24/2013 SJ Web Edition - Info: Processing started: Wed Nov 01 22:48:18 2017 + Info: Processing started: Thu Nov 02 02:53:38 2017 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off gA6_lab3 -c gA6_lab3 Warning (125092): Tcl Script File lpm_counter0.qip not found Info (125063): set_global_assignment -name QIP_FILE lpm_counter0.qip @@ -3650,7 +3620,7 @@ Info (12128): Elaborating entity "cntr_h1h" for hierarchy "gA6_testbed:inst|LPM_ Info (12128): Elaborating entity "lpm_constant" for hierarchy "gA6_testbed:inst|lpm_constant:inst2" Info (12130): Elaborated megafunction instantiation "gA6_testbed:inst|lpm_constant:inst2" Info (12133): Instantiated megafunction "gA6_testbed:inst|lpm_constant:inst2" with the following parameter: - Info (12134): Parameter "LPM_CVALUE" = "20000000" + Info (12134): Parameter "LPM_CVALUE" = "2000" Info (12134): Parameter "LPM_WIDTH" = "21" Info (12128): Elaborating entity "gA6_7_segment_decoder" for hierarchy "gA6_testbed:inst|gA6_7_segment_decoder:inst15" Warning (12125): Using design file ga6_modulo_13.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project @@ -3756,23 +3726,20 @@ Warning (13024): Output pins are stuck at VCC or GND Warning (13410): Pin "led_mode[1]" is stuck at GND Info (13000): Registers with preset signals will power-up high Info (13003): DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back -Warning (18057): The assignment to disallow NOT gate push-back on register "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|trigger_out_mode_ff" is ignored -Info (13000): Registers with preset signals will power-up high -Info (13003): DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back Warning (13024): Output pins are stuck at VCC or GND Warning (13410): Pin "sld_hub:auto_hub|receive[0][0]" is stuck at GND Info (35024): Succesfully connected in-system debug instance "auto_signaltap_0" to all 93 required data inputs, trigger inputs, acquisition clocks, and dynamic pins Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL -Info (21057): Implemented 1948 device resources after synthesis - the final resource count might be different +Info (21057): Implemented 1951 device resources after synthesis - the final resource count might be different Info (21058): Implemented 15 input pins Info (21059): Implemented 43 output pins - Info (21061): Implemented 1791 logic cells + Info (21061): Implemented 1794 logic cells Info (21064): Implemented 98 RAM segments -Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 343 warnings - Info: Peak virtual memory: 638 megabytes - Info: Processing ended: Wed Nov 01 22:48:35 2017 - Info: Elapsed time: 00:00:17 - Info: Total CPU time (on all processors): 00:00:15 +Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 342 warnings + Info: Peak virtual memory: 629 megabytes + Info: Processing ended: Thu Nov 02 02:54:41 2017 + Info: Elapsed time: 00:01:03 + Info: Total CPU time (on all processors): 00:00:35 diff --git a/lab3/output_files/gA6_lab3.map.summary b/lab3/output_files/gA6_lab3.map.summary index c9863d4..153b75f 100644 --- a/lab3/output_files/gA6_lab3.map.summary +++ b/lab3/output_files/gA6_lab3.map.summary @@ -1,10 +1,10 @@ -Analysis & Synthesis Status : Successful - Wed Nov 01 22:48:34 2017 +Analysis & Synthesis Status : Successful - Thu Nov 02 02:54:40 2017 Quartus II 64-Bit Version : 13.0.0 Build 156 04/24/2013 SJ Web Edition Revision Name : gA6_lab3 Top-level Entity Name : gA6_lab3 Family : Cyclone II -Total logic elements : 1,754 - Total combinational functions : 1,208 +Total logic elements : 1,757 + Total combinational functions : 1,211 Dedicated logic registers : 1,210 Total registers : 1210 Total pins : 54 diff --git a/lab3/output_files/gA6_lab3.pin b/lab3/output_files/gA6_lab3.pin index 4fb2719..ec759a0 100644 --- a/lab3/output_files/gA6_lab3.pin +++ b/lab3/output_files/gA6_lab3.pin @@ -78,11 +78,11 @@ GND* : A7 : : : GND* : A8 : : : : 3 : GND* : A9 : : : : 3 : GND* : A10 : : : : 3 : -num[2] : A11 : output : 3.3-V LVTTL : : 3 : N +GND* : A11 : : : : 3 : GND+ : A12 : : : : 4 : -num[5] : A13 : output : 3.3-V LVTTL : : 4 : N -value[3] : A14 : output : 3.3-V LVTTL : : 4 : N -value[4] : A15 : output : 3.3-V LVTTL : : 4 : N +value[3] : A13 : output : 3.3-V LVTTL : : 4 : N +GND* : A14 : : : : 4 : +value[0] : A15 : output : 3.3-V LVTTL : : 4 : N GND* : A16 : : : : 4 : GND* : A17 : : : : 4 : GND* : A18 : : : : 4 : @@ -142,15 +142,15 @@ GND* : B5 : : : GND* : B6 : : : : 3 : GND* : B7 : : : : 3 : GND* : B8 : : : : 3 : -value[1] : B9 : output : 3.3-V LVTTL : : 3 : N +GND* : B9 : : : : 3 : GND* : B10 : : : : 3 : -num[1] : B11 : output : 3.3-V LVTTL : : 3 : N +GND* : B11 : : : : 3 : GND+ : B12 : : : : 4 : -GND* : B13 : : : : 4 : -GND* : B14 : : : : 4 : -GND* : B15 : : : : 4 : +value[5] : B13 : output : 3.3-V LVTTL : : 4 : N +value[4] : B14 : output : 3.3-V LVTTL : : 4 : N +num[0] : B15 : output : 3.3-V LVTTL : : 4 : N GND* : B16 : : : : 4 : -GND* : B17 : : : : 4 : +num[4] : B17 : output : 3.3-V LVTTL : : 4 : N GND* : B18 : : : : 4 : GND* : B19 : : : : 4 : GND* : B20 : : : : 4 : @@ -165,7 +165,7 @@ VCCIO3 : C6 : power : : 3.3V GND* : C7 : : : : 3 : GND : C8 : gnd : : : : GND* : C9 : : : : 3 : -value[5] : C10 : output : 3.3-V LVTTL : : 3 : N +GND* : C10 : : : : 3 : VCCIO3 : C11 : power : : 3.3V : 3 : VCCIO4 : C12 : power : : 3.3V : 4 : GND* : C13 : : : : 4 : @@ -188,7 +188,7 @@ GND* : D7 : : : GND* : D8 : : : : 3 : GND* : D9 : : : : 3 : GND : D10 : gnd : : : : -value[0] : D11 : output : 3.3-V LVTTL : : 3 : N +GND* : D11 : : : : 3 : GND+ : D12 : : : : 3 : GND : D13 : gnd : : : : GND* : D14 : : : : 4 : @@ -210,10 +210,10 @@ GND* : E7 : : : GND* : E8 : : : : 3 : GND* : E9 : : : : 3 : VCCIO3 : E10 : power : : 3.3V : 3 : -num[0] : E11 : output : 3.3-V LVTTL : : 3 : N +GND* : E11 : : : : 3 : GND+ : E12 : : : : 3 : VCCIO4 : E13 : power : : 3.3V : 4 : -GND* : E14 : : : : 4 : +value[1] : E14 : output : 3.3-V LVTTL : : 4 : N GND* : E15 : : : : 4 : GNDA_PLL2 : E16 : gnd : : : : GND_PLL2 : E17 : gnd : : : : @@ -231,11 +231,11 @@ GND_PLL3 : F6 : gnd : : GNDA_PLL3 : F7 : gnd : : : : GND* : F8 : : : : 3 : GND* : F9 : : : : 3 : -num[4] : F10 : output : 3.3-V LVTTL : : 3 : N -num[3] : F11 : output : 3.3-V LVTTL : : 3 : N +GND* : F10 : : : : 3 : +GND* : F11 : : : : 3 : GND* : F12 : : : : 4 : GND* : F13 : : : : 4 : -GND* : F14 : : : : 4 : +num[1] : F14 : output : 3.3-V LVTTL : : 4 : N GND* : F15 : : : : 4 : VCCA_PLL2 : F16 : power : : 1.2V : : VCCD_PLL2 : F17 : power : : 1.2V : : @@ -274,7 +274,7 @@ led_floor[2] : H5 : output : 3.3-V LVTTL : led_floor[1] : H6 : output : 3.3-V LVTTL : : 2 : Y GND* : H7 : : : : 3 : GND* : H8 : : : : 3 : -value[2] : H9 : output : 3.3-V LVTTL : : 3 : N +GND* : H9 : : : : 3 : GND* : H10 : : : : 3 : GND* : H11 : : : : 3 : GND* : H12 : : : : 4 : @@ -284,7 +284,7 @@ GND* : H15 : : : GND* : H16 : : : : 5 : GND* : H17 : : : : 5 : GND* : H18 : : : : 5 : -GND* : H19 : : : : 5 : +num[5] : H19 : output : 3.3-V LVTTL : : 5 : N GND : H20 : gnd : : : : NC : H21 : : : : : NC : H22 : : : : : @@ -302,14 +302,14 @@ VCCINT : J11 : power : : 1.2V VCCINT : J12 : power : : 1.2V : : VCCINT : J13 : power : : 1.2V : : GND* : J14 : : : : 4 : -GND* : J15 : : : : 5 : +value[2] : J15 : output : 3.3-V LVTTL : : 5 : N VCCIO5 : J16 : power : : 3.3V : 5 : GND* : J17 : : : : 5 : GND* : J18 : : : : 5 : GND* : J19 : : : : 5 : GND* : J20 : : : : 5 : GND* : J21 : : : : 5 : -GND* : J22 : : : : 5 : +num[2] : J22 : output : 3.3-V LVTTL : : 5 : N nCE : K1 : : : : 2 : altera_reserved_tck : K2 : input : 3.3-V LVTTL : : 2 : N GND : K3 : gnd : : : : @@ -349,7 +349,7 @@ VCCINT : L14 : power : : 1.2V NC : L15 : : : : : NC : L16 : : : : : NC : L17 : : : : : -GND* : L18 : : : : 5 : +num[3] : L18 : output : 3.3-V LVTTL : : 5 : N GND* : L19 : : : : 5 : VCCIO5 : L20 : power : : 3.3V : 5 : addr[1] : L21 : input : 3.3-V LVTTL : : 5 : Y diff --git a/lab3/output_files/gA6_lab3.pof b/lab3/output_files/gA6_lab3.pof index 186b5e1..3ca1adf 100644 Binary files a/lab3/output_files/gA6_lab3.pof and b/lab3/output_files/gA6_lab3.pof differ diff --git a/lab3/output_files/gA6_lab3.sof b/lab3/output_files/gA6_lab3.sof index be51a3f..d92191b 100644 Binary files a/lab3/output_files/gA6_lab3.sof and b/lab3/output_files/gA6_lab3.sof differ diff --git a/lab3/output_files/gA6_lab3.sta.rpt b/lab3/output_files/gA6_lab3.sta.rpt index 5819abc..2b2ce23 100644 --- a/lab3/output_files/gA6_lab3.sta.rpt +++ b/lab3/output_files/gA6_lab3.sta.rpt @@ -1,5 +1,5 @@ TimeQuest Timing Analyzer report for gA6_lab3 -Wed Nov 01 22:48:53 2017 +Thu Nov 02 02:55:33 2017 Quartus II 64-Bit Version 13.0.0 Build 156 04/24/2013 SJ Web Edition @@ -149,150 +149,150 @@ No paths to report. +--------------+--------------+--------+--------+--------+--------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +--------------+--------------+--------+--------+--------+--------+ -; addr[0] ; led_floor[0] ; 18.887 ; 18.887 ; 18.887 ; 18.887 ; -; addr[0] ; led_floor[1] ; 19.345 ; 19.345 ; 19.345 ; 19.345 ; -; addr[0] ; led_floor[2] ; 19.258 ; 19.258 ; 19.258 ; 19.258 ; -; addr[0] ; led_floor[3] ; 19.393 ; 19.393 ; 19.393 ; 19.393 ; -; addr[0] ; led_floor[4] ; 19.417 ; 19.417 ; 19.417 ; 19.417 ; -; addr[0] ; led_floor[5] ; 19.338 ; 19.338 ; 19.338 ; 19.338 ; -; addr[0] ; led_floor[6] ; 19.401 ; 19.401 ; 19.401 ; 19.401 ; -; addr[0] ; led_mod[0] ; 22.111 ; 22.111 ; 22.111 ; 22.111 ; -; addr[0] ; led_mod[1] ; 23.599 ; 23.599 ; 23.599 ; 23.599 ; -; addr[0] ; led_mod[2] ; 22.015 ; 22.015 ; 22.015 ; 22.015 ; -; addr[0] ; led_mod[3] ; 23.788 ; 23.788 ; 23.788 ; 23.788 ; -; addr[0] ; led_mod[4] ; 21.566 ; 21.566 ; 21.566 ; 21.566 ; -; addr[0] ; led_mod[5] ; 22.387 ; 22.387 ; 22.387 ; 22.387 ; -; addr[0] ; led_mod[6] ; 21.915 ; 21.915 ; 21.915 ; 21.915 ; -; addr[0] ; value[0] ; 14.073 ; 14.073 ; 14.073 ; 14.073 ; -; addr[0] ; value[1] ; 14.610 ; 14.610 ; 14.610 ; 14.610 ; -; addr[0] ; value[2] ; 14.687 ; 14.687 ; 14.687 ; 14.687 ; -; addr[0] ; value[3] ; 13.932 ; 13.932 ; 13.932 ; 13.932 ; -; addr[0] ; value[4] ; 14.187 ; 14.187 ; 14.187 ; 14.187 ; -; addr[0] ; value[5] ; 14.514 ; 14.514 ; 14.514 ; 14.514 ; -; addr[1] ; led_floor[0] ; 18.413 ; 18.413 ; 18.413 ; 18.413 ; -; addr[1] ; led_floor[1] ; 18.871 ; 18.871 ; 18.871 ; 18.871 ; -; addr[1] ; led_floor[2] ; 18.784 ; 18.784 ; 18.784 ; 18.784 ; -; addr[1] ; led_floor[3] ; 18.919 ; 18.919 ; 18.919 ; 18.919 ; -; addr[1] ; led_floor[4] ; 18.943 ; 18.943 ; 18.943 ; 18.943 ; -; addr[1] ; led_floor[5] ; 18.864 ; 18.864 ; 18.864 ; 18.864 ; -; addr[1] ; led_floor[6] ; 18.927 ; 18.927 ; 18.927 ; 18.927 ; -; addr[1] ; led_mod[0] ; 21.637 ; 21.637 ; 21.637 ; 21.637 ; -; addr[1] ; led_mod[1] ; 23.125 ; 23.125 ; 23.125 ; 23.125 ; -; addr[1] ; led_mod[2] ; 21.541 ; 21.541 ; 21.541 ; 21.541 ; -; addr[1] ; led_mod[3] ; 23.314 ; 23.314 ; 23.314 ; 23.314 ; -; addr[1] ; led_mod[4] ; 21.092 ; 21.092 ; 21.092 ; 21.092 ; -; addr[1] ; led_mod[5] ; 21.913 ; 21.913 ; 21.913 ; 21.913 ; -; addr[1] ; led_mod[6] ; 21.441 ; 21.441 ; 21.441 ; 21.441 ; -; addr[1] ; value[0] ; 13.599 ; 13.599 ; 13.599 ; 13.599 ; -; addr[1] ; value[1] ; 13.359 ; 13.359 ; 13.359 ; 13.359 ; -; addr[1] ; value[2] ; 13.678 ; 13.678 ; 13.678 ; 13.678 ; -; addr[1] ; value[3] ; 13.499 ; 13.499 ; 13.499 ; 13.499 ; -; addr[1] ; value[4] ; 12.927 ; 12.927 ; 12.927 ; 12.927 ; -; addr[1] ; value[5] ; 13.696 ; 13.696 ; 13.696 ; 13.696 ; -; addr[2] ; led_floor[0] ; 17.820 ; 17.820 ; 17.820 ; 17.820 ; -; addr[2] ; led_floor[1] ; 18.278 ; 17.079 ; 17.079 ; 18.278 ; -; addr[2] ; led_floor[2] ; 18.191 ; 18.191 ; 18.191 ; 18.191 ; -; addr[2] ; led_floor[3] ; 18.326 ; 18.326 ; 18.326 ; 18.326 ; -; addr[2] ; led_floor[4] ; 18.350 ; 18.350 ; 18.350 ; 18.350 ; -; addr[2] ; led_floor[5] ; 18.271 ; 18.271 ; 18.271 ; 18.271 ; -; addr[2] ; led_floor[6] ; 17.135 ; 18.334 ; 18.334 ; 17.135 ; -; addr[2] ; led_mod[0] ; 21.044 ; 21.044 ; 21.044 ; 21.044 ; -; addr[2] ; led_mod[1] ; 22.532 ; 22.532 ; 22.532 ; 22.532 ; -; addr[2] ; led_mod[2] ; 20.948 ; 20.948 ; 20.948 ; 20.948 ; -; addr[2] ; led_mod[3] ; 22.721 ; 22.721 ; 22.721 ; 22.721 ; -; addr[2] ; led_mod[4] ; 20.499 ; 20.499 ; 20.499 ; 20.499 ; -; addr[2] ; led_mod[5] ; 21.320 ; 21.320 ; 21.320 ; 21.320 ; -; addr[2] ; led_mod[6] ; 20.848 ; 20.848 ; 20.848 ; 20.848 ; -; addr[2] ; value[0] ; 13.006 ; 11.807 ; 11.807 ; 13.006 ; -; addr[2] ; value[1] ; 11.991 ; 11.991 ; 11.991 ; 11.991 ; -; addr[2] ; value[2] ; 13.192 ; 13.030 ; 13.030 ; 13.192 ; -; addr[2] ; value[3] ; 11.535 ; 11.535 ; 11.535 ; 11.535 ; -; addr[2] ; value[4] ; 11.444 ; 11.444 ; 11.444 ; 11.444 ; -; addr[2] ; value[5] ; 11.117 ; 11.117 ; 11.117 ; 11.117 ; -; addr[3] ; led_floor[0] ; 16.281 ; 16.281 ; 16.281 ; 16.281 ; -; addr[3] ; led_floor[1] ; 16.671 ; 16.739 ; 16.739 ; 16.671 ; -; addr[3] ; led_floor[2] ; 16.652 ; 16.652 ; 16.652 ; 16.652 ; -; addr[3] ; led_floor[3] ; 16.787 ; 16.787 ; 16.787 ; 16.787 ; -; addr[3] ; led_floor[4] ; 16.811 ; 16.811 ; 16.811 ; 16.811 ; -; addr[3] ; led_floor[5] ; 16.732 ; 16.732 ; 16.732 ; 16.732 ; -; addr[3] ; led_floor[6] ; 16.795 ; 16.727 ; 16.727 ; 16.795 ; -; addr[3] ; led_mod[0] ; 19.505 ; 19.505 ; 19.505 ; 19.505 ; -; addr[3] ; led_mod[1] ; 20.993 ; 20.993 ; 20.993 ; 20.993 ; -; addr[3] ; led_mod[2] ; 19.409 ; 19.409 ; 19.409 ; 19.409 ; -; addr[3] ; led_mod[3] ; 21.182 ; 21.182 ; 21.182 ; 21.182 ; -; addr[3] ; led_mod[4] ; 18.960 ; 18.960 ; 18.960 ; 18.960 ; -; addr[3] ; led_mod[5] ; 19.781 ; 19.781 ; 19.781 ; 19.781 ; -; addr[3] ; led_mod[6] ; 19.309 ; 19.309 ; 19.309 ; 19.309 ; -; addr[3] ; value[0] ; 10.998 ; 11.467 ; 11.467 ; 10.998 ; -; addr[3] ; value[1] ; 11.997 ; 12.041 ; 12.041 ; 11.997 ; -; addr[3] ; value[2] ; 11.728 ; 12.049 ; 12.049 ; 11.728 ; -; addr[3] ; value[3] ; 10.909 ; 10.909 ; 10.909 ; 10.909 ; -; addr[3] ; value[4] ; 11.482 ; 11.482 ; 11.482 ; 11.482 ; -; addr[3] ; value[5] ; 11.445 ; 11.867 ; 11.867 ; 11.445 ; -; addr[4] ; led_floor[0] ; 17.149 ; 17.149 ; 17.149 ; 17.149 ; -; addr[4] ; led_floor[1] ; 15.839 ; 17.607 ; 17.607 ; 15.839 ; -; addr[4] ; led_floor[2] ; 17.520 ; 17.520 ; 17.520 ; 17.520 ; -; addr[4] ; led_floor[3] ; 17.655 ; 17.655 ; 17.655 ; 17.655 ; -; addr[4] ; led_floor[4] ; 17.679 ; 17.679 ; 17.679 ; 17.679 ; -; addr[4] ; led_floor[5] ; 17.600 ; 17.600 ; 17.600 ; 17.600 ; -; addr[4] ; led_floor[6] ; 17.663 ; 15.895 ; 15.895 ; 17.663 ; -; addr[4] ; led_mod[0] ; 20.373 ; 20.373 ; 20.373 ; 20.373 ; -; addr[4] ; led_mod[1] ; 21.861 ; 21.861 ; 21.861 ; 21.861 ; -; addr[4] ; led_mod[2] ; 20.277 ; 20.277 ; 20.277 ; 20.277 ; -; addr[4] ; led_mod[3] ; 22.050 ; 22.050 ; 22.050 ; 22.050 ; -; addr[4] ; led_mod[4] ; 19.828 ; 19.828 ; 19.828 ; 19.828 ; -; addr[4] ; led_mod[5] ; 20.649 ; 20.649 ; 20.649 ; 20.649 ; -; addr[4] ; led_mod[6] ; 20.177 ; 20.177 ; 20.177 ; 20.177 ; -; addr[4] ; value[0] ; 10.267 ; 12.335 ; 12.335 ; 10.267 ; -; addr[4] ; value[1] ; 11.030 ; 11.080 ; 11.080 ; 11.030 ; -; addr[4] ; value[2] ; 11.371 ; 12.935 ; 12.935 ; 11.371 ; -; addr[4] ; value[3] ; 10.651 ; 10.651 ; 10.651 ; 10.651 ; -; addr[4] ; value[4] ; 11.228 ; 11.228 ; 11.228 ; 11.228 ; -; addr[4] ; value[5] ; 10.863 ; 10.863 ; 10.863 ; 10.863 ; -; addr[5] ; led_floor[0] ; 16.381 ; 16.381 ; 16.381 ; 16.381 ; -; addr[5] ; led_floor[1] ; 15.166 ; 16.839 ; 16.839 ; 15.166 ; -; addr[5] ; led_floor[2] ; 16.752 ; 16.752 ; 16.752 ; 16.752 ; -; addr[5] ; led_floor[3] ; 16.887 ; 16.887 ; 16.887 ; 16.887 ; -; addr[5] ; led_floor[4] ; 16.911 ; 16.911 ; 16.911 ; 16.911 ; -; addr[5] ; led_floor[5] ; 16.832 ; 16.832 ; 16.832 ; 16.832 ; -; addr[5] ; led_floor[6] ; 16.895 ; 15.222 ; 15.222 ; 16.895 ; -; addr[5] ; led_mod[0] ; 19.605 ; 19.605 ; 19.605 ; 19.605 ; -; addr[5] ; led_mod[1] ; 21.093 ; 21.093 ; 21.093 ; 21.093 ; -; addr[5] ; led_mod[2] ; 19.509 ; 19.509 ; 19.509 ; 19.509 ; -; addr[5] ; led_mod[3] ; 21.282 ; 21.282 ; 21.282 ; 21.282 ; -; addr[5] ; led_mod[4] ; 19.060 ; 19.060 ; 19.060 ; 19.060 ; -; addr[5] ; led_mod[5] ; 19.881 ; 19.881 ; 19.881 ; 19.881 ; -; addr[5] ; led_mod[6] ; 19.409 ; 19.409 ; 19.409 ; 19.409 ; -; addr[5] ; value[0] ; 9.596 ; 11.567 ; 11.567 ; 9.596 ; -; addr[5] ; value[1] ; 10.386 ; 10.386 ; 10.386 ; 10.386 ; -; addr[5] ; value[2] ; 10.595 ; 12.167 ; 12.167 ; 10.595 ; -; addr[5] ; value[3] ; 10.216 ; 10.216 ; 10.216 ; 10.216 ; -; addr[5] ; value[4] ; 10.555 ; 10.555 ; 10.555 ; 10.555 ; -; addr[5] ; value[5] ; 9.769 ; 9.769 ; 9.769 ; 9.769 ; -; mode[0] ; led_mode[0] ; 8.946 ; ; ; 8.946 ; -; mode[0] ; led_mode[2] ; ; 9.196 ; 9.196 ; ; -; mode[0] ; led_mode[3] ; 9.883 ; ; ; 9.883 ; -; mode[0] ; led_mode[4] ; 6.077 ; ; ; 6.077 ; -; mode[0] ; led_mode[5] ; 8.625 ; ; ; 8.625 ; -; mode[1] ; led_mode[0] ; ; 8.726 ; 8.726 ; ; -; mode[1] ; led_mode[2] ; 9.034 ; ; ; 9.034 ; -; mode[1] ; led_mode[3] ; ; 9.663 ; 9.663 ; ; -; mode[1] ; led_mode[5] ; 8.371 ; ; ; 8.371 ; +; addr[0] ; led_floor[0] ; 19.293 ; 19.293 ; 19.293 ; 19.293 ; +; addr[0] ; led_floor[1] ; 19.263 ; 19.263 ; 19.263 ; 19.263 ; +; addr[0] ; led_floor[2] ; 18.500 ; 18.500 ; 18.500 ; 18.500 ; +; addr[0] ; led_floor[3] ; 18.857 ; 18.857 ; 18.857 ; 18.857 ; +; addr[0] ; led_floor[4] ; 19.366 ; 19.366 ; 19.366 ; 19.366 ; +; addr[0] ; led_floor[5] ; 19.158 ; 19.158 ; 19.158 ; 19.158 ; +; addr[0] ; led_floor[6] ; 19.391 ; 19.391 ; 19.391 ; 19.391 ; +; addr[0] ; led_mod[0] ; 22.061 ; 22.061 ; 22.061 ; 22.061 ; +; addr[0] ; led_mod[1] ; 23.356 ; 23.356 ; 23.356 ; 23.356 ; +; addr[0] ; led_mod[2] ; 22.152 ; 22.152 ; 22.152 ; 22.152 ; +; addr[0] ; led_mod[3] ; 22.447 ; 22.447 ; 22.447 ; 22.447 ; +; addr[0] ; led_mod[4] ; 22.079 ; 22.079 ; 22.079 ; 22.079 ; +; addr[0] ; led_mod[5] ; 21.717 ; 21.717 ; 21.717 ; 21.717 ; +; addr[0] ; led_mod[6] ; 22.460 ; 22.460 ; 22.460 ; 22.460 ; +; addr[0] ; value[0] ; 14.817 ; 14.817 ; 14.817 ; 14.817 ; +; addr[0] ; value[1] ; 14.600 ; 14.600 ; 14.600 ; 14.600 ; +; addr[0] ; value[2] ; 15.721 ; 15.721 ; 15.721 ; 15.721 ; +; addr[0] ; value[3] ; 15.357 ; 15.357 ; 15.357 ; 15.357 ; +; addr[0] ; value[4] ; 15.099 ; 15.099 ; 15.099 ; 15.099 ; +; addr[0] ; value[5] ; 15.178 ; 14.879 ; 14.879 ; 15.178 ; +; addr[1] ; led_floor[0] ; 17.864 ; 17.864 ; 17.864 ; 17.864 ; +; addr[1] ; led_floor[1] ; 17.834 ; 17.834 ; 17.834 ; 17.834 ; +; addr[1] ; led_floor[2] ; 17.071 ; 17.071 ; 17.071 ; 17.071 ; +; addr[1] ; led_floor[3] ; 17.428 ; 17.428 ; 17.428 ; 17.428 ; +; addr[1] ; led_floor[4] ; 17.937 ; 17.937 ; 17.937 ; 17.937 ; +; addr[1] ; led_floor[5] ; 17.729 ; 17.729 ; 17.729 ; 17.729 ; +; addr[1] ; led_floor[6] ; 17.962 ; 17.962 ; 17.962 ; 17.962 ; +; addr[1] ; led_mod[0] ; 20.632 ; 20.632 ; 20.632 ; 20.632 ; +; addr[1] ; led_mod[1] ; 21.927 ; 21.927 ; 21.927 ; 21.927 ; +; addr[1] ; led_mod[2] ; 20.723 ; 20.723 ; 20.723 ; 20.723 ; +; addr[1] ; led_mod[3] ; 21.018 ; 21.018 ; 21.018 ; 21.018 ; +; addr[1] ; led_mod[4] ; 20.650 ; 20.650 ; 20.650 ; 20.650 ; +; addr[1] ; led_mod[5] ; 20.288 ; 20.288 ; 20.288 ; 20.288 ; +; addr[1] ; led_mod[6] ; 21.031 ; 21.031 ; 21.031 ; 21.031 ; +; addr[1] ; value[0] ; 13.041 ; 13.041 ; 13.041 ; 13.041 ; +; addr[1] ; value[1] ; 13.189 ; 13.189 ; 13.189 ; 13.189 ; +; addr[1] ; value[2] ; 15.005 ; 15.005 ; 15.005 ; 15.005 ; +; addr[1] ; value[3] ; 14.146 ; 14.146 ; 14.146 ; 14.146 ; +; addr[1] ; value[4] ; 13.416 ; 13.416 ; 13.416 ; 13.416 ; +; addr[1] ; value[5] ; 13.716 ; 13.716 ; 13.716 ; 13.716 ; +; addr[2] ; led_floor[0] ; 17.058 ; 17.058 ; 17.058 ; 17.058 ; +; addr[2] ; led_floor[1] ; 17.028 ; 16.842 ; 16.842 ; 17.028 ; +; addr[2] ; led_floor[2] ; 16.265 ; 16.265 ; 16.265 ; 16.265 ; +; addr[2] ; led_floor[3] ; 16.622 ; 16.622 ; 16.622 ; 16.622 ; +; addr[2] ; led_floor[4] ; 17.131 ; 17.131 ; 17.131 ; 17.131 ; +; addr[2] ; led_floor[5] ; 16.923 ; 16.923 ; 16.923 ; 16.923 ; +; addr[2] ; led_floor[6] ; 16.970 ; 17.156 ; 17.156 ; 16.970 ; +; addr[2] ; led_mod[0] ; 19.826 ; 19.826 ; 19.826 ; 19.826 ; +; addr[2] ; led_mod[1] ; 21.121 ; 21.121 ; 21.121 ; 21.121 ; +; addr[2] ; led_mod[2] ; 19.917 ; 19.917 ; 19.917 ; 19.917 ; +; addr[2] ; led_mod[3] ; 20.212 ; 20.212 ; 20.212 ; 20.212 ; +; addr[2] ; led_mod[4] ; 19.844 ; 19.844 ; 19.844 ; 19.844 ; +; addr[2] ; led_mod[5] ; 19.482 ; 19.482 ; 19.482 ; 19.482 ; +; addr[2] ; led_mod[6] ; 20.225 ; 20.225 ; 20.225 ; 20.225 ; +; addr[2] ; value[0] ; 11.418 ; 11.418 ; 11.418 ; 11.418 ; +; addr[2] ; value[1] ; 12.918 ; 11.036 ; 11.036 ; 12.918 ; +; addr[2] ; value[2] ; 12.099 ; 12.304 ; 12.304 ; 12.099 ; +; addr[2] ; value[3] ; 11.955 ; 11.955 ; 11.955 ; 11.955 ; +; addr[2] ; value[4] ; 12.236 ; 11.718 ; 11.718 ; 12.236 ; +; addr[2] ; value[5] ; 12.300 ; 11.298 ; 11.298 ; 12.300 ; +; addr[3] ; led_floor[0] ; 16.068 ; 16.068 ; 16.068 ; 16.068 ; +; addr[3] ; led_floor[1] ; 16.038 ; 16.038 ; 16.038 ; 16.038 ; +; addr[3] ; led_floor[2] ; 15.275 ; 15.275 ; 15.275 ; 15.275 ; +; addr[3] ; led_floor[3] ; 15.632 ; 15.632 ; 15.632 ; 15.632 ; +; addr[3] ; led_floor[4] ; 16.141 ; 16.141 ; 16.141 ; 16.141 ; +; addr[3] ; led_floor[5] ; 15.933 ; 15.933 ; 15.933 ; 15.933 ; +; addr[3] ; led_floor[6] ; 16.166 ; 16.166 ; 16.166 ; 16.166 ; +; addr[3] ; led_mod[0] ; 18.836 ; 18.836 ; 18.836 ; 18.836 ; +; addr[3] ; led_mod[1] ; 20.131 ; 20.131 ; 20.131 ; 20.131 ; +; addr[3] ; led_mod[2] ; 18.927 ; 18.927 ; 18.927 ; 18.927 ; +; addr[3] ; led_mod[3] ; 19.222 ; 19.222 ; 19.222 ; 19.222 ; +; addr[3] ; led_mod[4] ; 18.854 ; 18.854 ; 18.854 ; 18.854 ; +; addr[3] ; led_mod[5] ; 18.492 ; 18.492 ; 18.492 ; 18.492 ; +; addr[3] ; led_mod[6] ; 19.235 ; 19.235 ; 19.235 ; 19.235 ; +; addr[3] ; value[0] ; 10.809 ; 10.809 ; 10.809 ; 10.809 ; +; addr[3] ; value[1] ; 11.928 ; 11.928 ; 11.928 ; 11.928 ; +; addr[3] ; value[2] ; 11.265 ; 11.265 ; 11.265 ; 11.265 ; +; addr[3] ; value[3] ; 10.454 ; 10.605 ; 10.605 ; 10.454 ; +; addr[3] ; value[4] ; 11.231 ; 11.231 ; 11.231 ; 11.231 ; +; addr[3] ; value[5] ; 10.966 ; 10.966 ; 10.966 ; 10.966 ; +; addr[4] ; led_floor[0] ; 17.295 ; 17.295 ; 17.295 ; 17.295 ; +; addr[4] ; led_floor[1] ; 17.079 ; 17.265 ; 17.265 ; 17.079 ; +; addr[4] ; led_floor[2] ; 16.502 ; 16.502 ; 16.502 ; 16.502 ; +; addr[4] ; led_floor[3] ; 16.859 ; 16.859 ; 16.859 ; 16.859 ; +; addr[4] ; led_floor[4] ; 17.368 ; 17.368 ; 17.368 ; 17.368 ; +; addr[4] ; led_floor[5] ; 17.160 ; 17.160 ; 17.160 ; 17.160 ; +; addr[4] ; led_floor[6] ; 17.393 ; 17.207 ; 17.207 ; 17.393 ; +; addr[4] ; led_mod[0] ; 20.063 ; 20.063 ; 20.063 ; 20.063 ; +; addr[4] ; led_mod[1] ; 21.358 ; 21.358 ; 21.358 ; 21.358 ; +; addr[4] ; led_mod[2] ; 20.154 ; 20.154 ; 20.154 ; 20.154 ; +; addr[4] ; led_mod[3] ; 20.449 ; 20.449 ; 20.449 ; 20.449 ; +; addr[4] ; led_mod[4] ; 20.081 ; 20.081 ; 20.081 ; 20.081 ; +; addr[4] ; led_mod[5] ; 19.719 ; 19.719 ; 19.719 ; 19.719 ; +; addr[4] ; led_mod[6] ; 20.462 ; 20.462 ; 20.462 ; 20.462 ; +; addr[4] ; value[0] ; 10.377 ; 11.188 ; 11.188 ; 10.377 ; +; addr[4] ; value[1] ; 10.423 ; 13.155 ; 13.155 ; 10.423 ; +; addr[4] ; value[2] ; 10.686 ; 12.155 ; 12.155 ; 10.686 ; +; addr[4] ; value[3] ; 10.425 ; 10.783 ; 10.783 ; 10.425 ; +; addr[4] ; value[4] ; 11.203 ; 11.203 ; 11.203 ; 11.203 ; +; addr[4] ; value[5] ; 10.583 ; 10.821 ; 10.821 ; 10.583 ; +; addr[5] ; led_floor[0] ; 16.491 ; 16.491 ; 16.491 ; 16.491 ; +; addr[5] ; led_floor[1] ; 16.461 ; 16.461 ; 16.461 ; 16.461 ; +; addr[5] ; led_floor[2] ; 15.698 ; 15.698 ; 15.698 ; 15.698 ; +; addr[5] ; led_floor[3] ; 16.055 ; 16.055 ; 16.055 ; 16.055 ; +; addr[5] ; led_floor[4] ; 16.564 ; 16.564 ; 16.564 ; 16.564 ; +; addr[5] ; led_floor[5] ; 16.356 ; 16.356 ; 16.356 ; 16.356 ; +; addr[5] ; led_floor[6] ; 16.589 ; 16.589 ; 16.589 ; 16.589 ; +; addr[5] ; led_mod[0] ; 19.259 ; 19.259 ; 19.259 ; 19.259 ; +; addr[5] ; led_mod[1] ; 20.554 ; 20.554 ; 20.554 ; 20.554 ; +; addr[5] ; led_mod[2] ; 19.350 ; 19.350 ; 19.350 ; 19.350 ; +; addr[5] ; led_mod[3] ; 19.645 ; 19.645 ; 19.645 ; 19.645 ; +; addr[5] ; led_mod[4] ; 19.277 ; 19.277 ; 19.277 ; 19.277 ; +; addr[5] ; led_mod[5] ; 18.915 ; 18.915 ; 18.915 ; 18.915 ; +; addr[5] ; led_mod[6] ; 19.658 ; 19.658 ; 19.658 ; 19.658 ; +; addr[5] ; value[0] ; 11.232 ; 11.232 ; 11.232 ; 11.232 ; +; addr[5] ; value[1] ; 12.351 ; 12.351 ; 12.351 ; 12.351 ; +; addr[5] ; value[2] ; 11.551 ; 11.551 ; 11.551 ; 11.551 ; +; addr[5] ; value[3] ; 9.884 ; 9.884 ; 9.884 ; 9.884 ; +; addr[5] ; value[4] ; 11.184 ; 11.184 ; 11.184 ; 11.184 ; +; addr[5] ; value[5] ; 10.153 ; 10.153 ; 10.153 ; 10.153 ; +; mode[0] ; led_mode[0] ; 9.216 ; ; ; 9.216 ; +; mode[0] ; led_mode[2] ; ; 9.441 ; 9.441 ; ; +; mode[0] ; led_mode[3] ; 8.501 ; ; ; 8.501 ; +; mode[0] ; led_mode[4] ; 6.054 ; ; ; 6.054 ; +; mode[0] ; led_mode[5] ; 8.878 ; ; ; 8.878 ; +; mode[1] ; led_mode[0] ; ; 10.087 ; 10.087 ; ; +; mode[1] ; led_mode[2] ; 10.311 ; ; ; 10.311 ; +; mode[1] ; led_mode[3] ; ; 9.372 ; 9.372 ; ; +; mode[1] ; led_mode[5] ; 9.702 ; ; ; 9.702 ; ; mode[1] ; led_mode[6] ; ; 5.370 ; 5.370 ; ; -; mode_segment ; led_floor[0] ; 7.713 ; 7.713 ; 7.713 ; 7.713 ; -; mode_segment ; led_floor[1] ; 7.485 ; ; ; 7.485 ; -; mode_segment ; led_floor[2] ; 8.086 ; 8.086 ; 8.086 ; 8.086 ; -; mode_segment ; led_floor[3] ; 7.501 ; 7.501 ; 7.501 ; 7.501 ; -; mode_segment ; led_floor[4] ; 7.526 ; 7.526 ; 7.526 ; 7.526 ; -; mode_segment ; led_floor[5] ; ; 8.163 ; 8.163 ; ; -; mode_segment ; led_floor[6] ; ; 7.515 ; 7.515 ; ; -; mode_segment ; led_mod[0] ; 9.044 ; 8.653 ; 8.653 ; 9.044 ; -; mode_segment ; led_mod[1] ; 9.260 ; 9.260 ; 9.260 ; 9.260 ; -; mode_segment ; led_mod[2] ; 9.024 ; 9.024 ; 9.024 ; 9.024 ; -; mode_segment ; led_mod[3] ; 9.135 ; 9.135 ; 9.135 ; 9.135 ; -; mode_segment ; led_mod[4] ; 8.565 ; 8.565 ; 8.565 ; 8.565 ; -; mode_segment ; led_mod[5] ; 8.891 ; 8.891 ; 8.891 ; 8.891 ; -; mode_segment ; led_mod[6] ; 8.919 ; 8.919 ; 8.919 ; 8.919 ; +; mode_segment ; led_floor[0] ; 9.254 ; 9.254 ; 9.254 ; 9.254 ; +; mode_segment ; led_floor[1] ; 9.236 ; ; ; 9.236 ; +; mode_segment ; led_floor[2] ; 8.463 ; 8.463 ; 8.463 ; 8.463 ; +; mode_segment ; led_floor[3] ; 8.311 ; 8.311 ; 8.311 ; 8.311 ; +; mode_segment ; led_floor[4] ; 8.815 ; 8.815 ; 8.815 ; 8.815 ; +; mode_segment ; led_floor[5] ; ; 8.610 ; 8.610 ; ; +; mode_segment ; led_floor[6] ; ; 8.840 ; 8.840 ; ; +; mode_segment ; led_mod[0] ; 10.193 ; 10.193 ; 10.193 ; 10.193 ; +; mode_segment ; led_mod[1] ; 10.223 ; 10.223 ; 10.223 ; 10.223 ; +; mode_segment ; led_mod[2] ; 10.289 ; 10.289 ; 10.289 ; 10.289 ; +; mode_segment ; led_mod[3] ; 9.318 ; 9.318 ; 9.318 ; 9.318 ; +; mode_segment ; led_mod[4] ; 10.226 ; 10.226 ; 10.226 ; 10.226 ; +; mode_segment ; led_mod[5] ; 9.053 ; 9.053 ; 9.053 ; 9.053 ; +; mode_segment ; led_mod[6] ; 10.589 ; 10.589 ; 10.589 ; 10.589 ; +--------------+--------------+--------+--------+--------+--------+ @@ -301,150 +301,150 @@ No paths to report. +--------------+--------------+--------+--------+--------+--------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +--------------+--------------+--------+--------+--------+--------+ -; addr[0] ; led_floor[0] ; 12.478 ; 12.478 ; 12.478 ; 12.478 ; -; addr[0] ; led_floor[1] ; 12.936 ; 12.363 ; 12.363 ; 12.936 ; -; addr[0] ; led_floor[2] ; 12.854 ; 12.854 ; 12.854 ; 12.854 ; -; addr[0] ; led_floor[3] ; 12.377 ; 12.377 ; 12.377 ; 12.377 ; -; addr[0] ; led_floor[4] ; 12.401 ; 12.401 ; 12.401 ; 12.401 ; -; addr[0] ; led_floor[5] ; 12.929 ; 12.929 ; 12.929 ; 12.929 ; -; addr[0] ; led_floor[6] ; 12.397 ; 12.970 ; 12.970 ; 12.397 ; -; addr[0] ; led_mod[0] ; 13.232 ; 13.232 ; 13.232 ; 13.232 ; -; addr[0] ; led_mod[1] ; 13.684 ; 13.684 ; 13.684 ; 13.684 ; -; addr[0] ; led_mod[2] ; 13.180 ; 13.180 ; 13.180 ; 13.180 ; -; addr[0] ; led_mod[3] ; 14.120 ; 14.120 ; 14.120 ; 14.120 ; -; addr[0] ; led_mod[4] ; 12.712 ; 12.712 ; 12.712 ; 12.712 ; -; addr[0] ; led_mod[5] ; 13.530 ; 13.530 ; 13.530 ; 13.530 ; -; addr[0] ; led_mod[6] ; 13.075 ; 13.075 ; 13.075 ; 13.075 ; -; addr[0] ; value[0] ; 11.649 ; 11.649 ; 11.649 ; 11.649 ; -; addr[0] ; value[1] ; 11.756 ; 11.819 ; 11.819 ; 11.756 ; -; addr[0] ; value[2] ; 11.836 ; 11.741 ; 11.741 ; 11.836 ; -; addr[0] ; value[3] ; 10.367 ; 10.367 ; 10.367 ; 10.367 ; -; addr[0] ; value[4] ; 10.630 ; 10.057 ; 10.057 ; 10.630 ; -; addr[0] ; value[5] ; 11.577 ; 11.940 ; 11.940 ; 11.577 ; -; addr[1] ; led_floor[0] ; 11.397 ; 11.397 ; 11.397 ; 11.397 ; -; addr[1] ; led_floor[1] ; 11.282 ; 11.282 ; 11.282 ; 11.282 ; -; addr[1] ; led_floor[2] ; 11.773 ; 11.773 ; 11.773 ; 11.773 ; -; addr[1] ; led_floor[3] ; 11.296 ; 11.296 ; 11.296 ; 11.296 ; -; addr[1] ; led_floor[4] ; 11.320 ; 11.320 ; 11.320 ; 11.320 ; -; addr[1] ; led_floor[5] ; 11.848 ; 11.848 ; 11.848 ; 11.848 ; -; addr[1] ; led_floor[6] ; 11.316 ; 11.316 ; 11.316 ; 11.316 ; -; addr[1] ; led_mod[0] ; 12.151 ; 12.151 ; 12.151 ; 12.151 ; -; addr[1] ; led_mod[1] ; 12.603 ; 12.603 ; 12.603 ; 12.603 ; -; addr[1] ; led_mod[2] ; 12.099 ; 12.099 ; 12.099 ; 12.099 ; -; addr[1] ; led_mod[3] ; 13.039 ; 13.039 ; 13.039 ; 13.039 ; -; addr[1] ; led_mod[4] ; 11.631 ; 11.631 ; 11.631 ; 11.631 ; -; addr[1] ; led_mod[5] ; 12.449 ; 12.449 ; 12.449 ; 12.449 ; -; addr[1] ; led_mod[6] ; 11.994 ; 11.994 ; 11.994 ; 11.994 ; -; addr[1] ; value[0] ; 9.464 ; 9.464 ; 9.464 ; 9.464 ; -; addr[1] ; value[1] ; 10.800 ; 10.800 ; 10.800 ; 10.800 ; -; addr[1] ; value[2] ; 10.449 ; 10.244 ; 10.244 ; 10.449 ; -; addr[1] ; value[3] ; 9.286 ; 9.469 ; 9.469 ; 9.286 ; -; addr[1] ; value[4] ; 8.976 ; 8.976 ; 8.976 ; 8.976 ; -; addr[1] ; value[5] ; 10.144 ; 10.144 ; 10.144 ; 10.144 ; -; addr[2] ; led_floor[0] ; 10.230 ; 10.230 ; 10.230 ; 10.230 ; -; addr[2] ; led_floor[1] ; 10.889 ; 10.115 ; 10.115 ; 10.889 ; -; addr[2] ; led_floor[2] ; 10.606 ; 10.606 ; 10.606 ; 10.606 ; -; addr[2] ; led_floor[3] ; 10.129 ; 10.129 ; 10.129 ; 10.129 ; -; addr[2] ; led_floor[4] ; 10.153 ; 10.153 ; 10.153 ; 10.153 ; -; addr[2] ; led_floor[5] ; 10.681 ; 10.681 ; 10.681 ; 10.681 ; -; addr[2] ; led_floor[6] ; 10.149 ; 10.923 ; 10.923 ; 10.149 ; -; addr[2] ; led_mod[0] ; 11.790 ; 11.790 ; 11.790 ; 11.790 ; -; addr[2] ; led_mod[1] ; 12.020 ; 12.020 ; 12.020 ; 12.020 ; -; addr[2] ; led_mod[2] ; 11.738 ; 11.738 ; 11.738 ; 11.738 ; -; addr[2] ; led_mod[3] ; 11.872 ; 11.872 ; 11.872 ; 11.872 ; -; addr[2] ; led_mod[4] ; 11.270 ; 11.270 ; 11.270 ; 11.270 ; -; addr[2] ; led_mod[5] ; 11.282 ; 11.282 ; 11.282 ; 11.282 ; -; addr[2] ; led_mod[6] ; 11.633 ; 11.633 ; 11.633 ; 11.633 ; -; addr[2] ; value[0] ; 9.385 ; 8.912 ; 8.912 ; 9.385 ; -; addr[2] ; value[1] ; 9.482 ; 9.199 ; 9.199 ; 9.482 ; -; addr[2] ; value[2] ; 10.400 ; 10.017 ; 10.017 ; 10.400 ; -; addr[2] ; value[3] ; 9.030 ; 8.925 ; 8.925 ; 9.030 ; -; addr[2] ; value[4] ; 8.583 ; 7.809 ; 7.809 ; 8.583 ; -; addr[2] ; value[5] ; 9.425 ; 9.073 ; 9.073 ; 9.425 ; -; addr[3] ; led_floor[0] ; 11.439 ; 11.439 ; 11.439 ; 11.439 ; -; addr[3] ; led_floor[1] ; 11.324 ; 11.446 ; 11.446 ; 11.324 ; -; addr[3] ; led_floor[2] ; 11.815 ; 11.815 ; 11.815 ; 11.815 ; -; addr[3] ; led_floor[3] ; 11.338 ; 11.338 ; 11.338 ; 11.338 ; -; addr[3] ; led_floor[4] ; 11.362 ; 11.362 ; 11.362 ; 11.362 ; -; addr[3] ; led_floor[5] ; 11.890 ; 11.890 ; 11.890 ; 11.890 ; -; addr[3] ; led_floor[6] ; 11.480 ; 11.358 ; 11.358 ; 11.480 ; -; addr[3] ; led_mod[0] ; 12.010 ; 12.010 ; 12.010 ; 12.010 ; -; addr[3] ; led_mod[1] ; 12.462 ; 12.462 ; 12.462 ; 12.462 ; -; addr[3] ; led_mod[2] ; 11.958 ; 11.958 ; 11.958 ; 11.958 ; -; addr[3] ; led_mod[3] ; 13.081 ; 13.081 ; 13.081 ; 13.081 ; -; addr[3] ; led_mod[4] ; 11.490 ; 11.490 ; 11.490 ; 11.490 ; -; addr[3] ; led_mod[5] ; 12.491 ; 12.491 ; 12.491 ; 12.491 ; -; addr[3] ; led_mod[6] ; 11.853 ; 11.853 ; 11.853 ; 11.853 ; -; addr[3] ; value[0] ; 9.670 ; 9.670 ; 9.670 ; 9.670 ; -; addr[3] ; value[1] ; 9.742 ; 9.940 ; 9.940 ; 9.742 ; -; addr[3] ; value[2] ; 10.328 ; 10.176 ; 10.176 ; 10.328 ; -; addr[3] ; value[3] ; 9.145 ; 9.541 ; 9.541 ; 9.145 ; -; addr[3] ; value[4] ; 9.018 ; 9.140 ; 9.140 ; 9.018 ; -; addr[3] ; value[5] ; 9.534 ; 9.814 ; 9.814 ; 9.534 ; -; addr[4] ; led_floor[0] ; 11.266 ; 11.266 ; 11.266 ; 11.266 ; -; addr[4] ; led_floor[1] ; 11.673 ; 11.151 ; 11.151 ; 11.673 ; -; addr[4] ; led_floor[2] ; 11.642 ; 11.642 ; 11.642 ; 11.642 ; -; addr[4] ; led_floor[3] ; 11.165 ; 11.165 ; 11.165 ; 11.165 ; -; addr[4] ; led_floor[4] ; 11.189 ; 11.189 ; 11.189 ; 11.189 ; -; addr[4] ; led_floor[5] ; 11.717 ; 11.717 ; 11.717 ; 11.717 ; -; addr[4] ; led_floor[6] ; 11.185 ; 11.707 ; 11.707 ; 11.185 ; -; addr[4] ; led_mod[0] ; 11.867 ; 11.867 ; 11.867 ; 11.867 ; -; addr[4] ; led_mod[1] ; 12.319 ; 12.319 ; 12.319 ; 12.319 ; -; addr[4] ; led_mod[2] ; 11.815 ; 11.815 ; 11.815 ; 11.815 ; -; addr[4] ; led_mod[3] ; 12.908 ; 12.908 ; 12.908 ; 12.908 ; -; addr[4] ; led_mod[4] ; 11.347 ; 11.347 ; 11.347 ; 11.347 ; -; addr[4] ; led_mod[5] ; 12.318 ; 12.318 ; 12.318 ; 12.318 ; -; addr[4] ; led_mod[6] ; 11.710 ; 11.710 ; 11.710 ; 11.710 ; -; addr[4] ; value[0] ; 10.036 ; 9.829 ; 9.829 ; 10.036 ; -; addr[4] ; value[1] ; 10.810 ; 10.207 ; 10.207 ; 10.810 ; -; addr[4] ; value[2] ; 9.967 ; 10.157 ; 10.157 ; 9.967 ; -; addr[4] ; value[3] ; 9.002 ; 9.046 ; 9.046 ; 9.002 ; -; addr[4] ; value[4] ; 9.367 ; 8.845 ; 8.845 ; 9.367 ; -; addr[4] ; value[5] ; 10.651 ; 10.081 ; 10.081 ; 10.651 ; -; addr[5] ; led_floor[0] ; 11.693 ; 11.693 ; 11.693 ; 11.693 ; -; addr[5] ; led_floor[1] ; 11.578 ; 11.578 ; 11.578 ; 11.578 ; -; addr[5] ; led_floor[2] ; 12.069 ; 12.069 ; 12.069 ; 12.069 ; -; addr[5] ; led_floor[3] ; 11.592 ; 11.592 ; 11.592 ; 11.592 ; -; addr[5] ; led_floor[4] ; 11.616 ; 11.616 ; 11.616 ; 11.616 ; -; addr[5] ; led_floor[5] ; 12.144 ; 12.144 ; 12.144 ; 12.144 ; -; addr[5] ; led_floor[6] ; 11.612 ; 11.612 ; 11.612 ; 11.612 ; -; addr[5] ; led_mod[0] ; 12.378 ; 12.378 ; 12.378 ; 12.378 ; -; addr[5] ; led_mod[1] ; 12.830 ; 12.830 ; 12.830 ; 12.830 ; -; addr[5] ; led_mod[2] ; 12.326 ; 12.326 ; 12.326 ; 12.326 ; -; addr[5] ; led_mod[3] ; 13.246 ; 13.246 ; 13.246 ; 13.246 ; -; addr[5] ; led_mod[4] ; 11.858 ; 11.858 ; 11.858 ; 11.858 ; -; addr[5] ; led_mod[5] ; 12.745 ; 12.745 ; 12.745 ; 12.745 ; -; addr[5] ; led_mod[6] ; 12.221 ; 12.221 ; 12.221 ; 12.221 ; -; addr[5] ; value[0] ; 8.885 ; 8.885 ; 8.885 ; 8.885 ; -; addr[5] ; value[1] ; 9.748 ; 9.748 ; 9.748 ; 9.748 ; -; addr[5] ; value[2] ; 10.130 ; 10.130 ; 10.130 ; 10.130 ; -; addr[5] ; value[3] ; 9.513 ; 9.513 ; 9.513 ; 9.513 ; -; addr[5] ; value[4] ; 9.272 ; 9.272 ; 9.272 ; 9.272 ; -; addr[5] ; value[5] ; 9.496 ; 9.496 ; 9.496 ; 9.496 ; -; mode[0] ; led_mode[0] ; 8.946 ; ; ; 8.946 ; -; mode[0] ; led_mode[2] ; ; 9.196 ; 9.196 ; ; -; mode[0] ; led_mode[3] ; 9.883 ; ; ; 9.883 ; -; mode[0] ; led_mode[4] ; 6.077 ; ; ; 6.077 ; -; mode[0] ; led_mode[5] ; 8.625 ; ; ; 8.625 ; -; mode[1] ; led_mode[0] ; ; 8.726 ; 8.726 ; ; -; mode[1] ; led_mode[2] ; 9.034 ; ; ; 9.034 ; -; mode[1] ; led_mode[3] ; ; 9.663 ; 9.663 ; ; -; mode[1] ; led_mode[5] ; 8.371 ; ; ; 8.371 ; +; addr[0] ; led_floor[0] ; 13.871 ; 13.871 ; 13.871 ; 13.871 ; +; addr[0] ; led_floor[1] ; 13.830 ; 13.830 ; 13.830 ; 13.830 ; +; addr[0] ; led_floor[2] ; 13.085 ; 13.085 ; 13.085 ; 13.085 ; +; addr[0] ; led_floor[3] ; 13.024 ; 13.024 ; 13.024 ; 13.024 ; +; addr[0] ; led_floor[4] ; 13.529 ; 13.529 ; 13.529 ; 13.529 ; +; addr[0] ; led_floor[5] ; 13.324 ; 13.324 ; 13.324 ; 13.324 ; +; addr[0] ; led_floor[6] ; 13.463 ; 13.463 ; 13.463 ; 13.463 ; +; addr[0] ; led_mod[0] ; 12.901 ; 12.901 ; 12.901 ; 12.901 ; +; addr[0] ; led_mod[1] ; 14.487 ; 14.487 ; 14.487 ; 14.487 ; +; addr[0] ; led_mod[2] ; 12.995 ; 12.995 ; 12.995 ; 12.995 ; +; addr[0] ; led_mod[3] ; 13.743 ; 13.743 ; 13.743 ; 13.743 ; +; addr[0] ; led_mod[4] ; 12.953 ; 12.953 ; 12.953 ; 12.953 ; +; addr[0] ; led_mod[5] ; 13.318 ; 13.318 ; 13.318 ; 13.318 ; +; addr[0] ; led_mod[6] ; 13.297 ; 13.297 ; 13.297 ; 13.297 ; +; addr[0] ; value[0] ; 10.901 ; 10.901 ; 10.901 ; 10.901 ; +; addr[0] ; value[1] ; 11.421 ; 11.421 ; 11.421 ; 11.421 ; +; addr[0] ; value[2] ; 11.582 ; 11.234 ; 11.234 ; 11.582 ; +; addr[0] ; value[3] ; 10.869 ; 10.869 ; 10.869 ; 10.869 ; +; addr[0] ; value[4] ; 12.022 ; 11.709 ; 11.709 ; 12.022 ; +; addr[0] ; value[5] ; 11.201 ; 11.201 ; 11.201 ; 11.201 ; +; addr[1] ; led_floor[0] ; 13.419 ; 13.419 ; 13.419 ; 13.419 ; +; addr[1] ; led_floor[1] ; 13.389 ; 13.707 ; 13.707 ; 13.389 ; +; addr[1] ; led_floor[2] ; 12.626 ; 12.626 ; 12.626 ; 12.626 ; +; addr[1] ; led_floor[3] ; 12.867 ; 12.867 ; 12.867 ; 12.867 ; +; addr[1] ; led_floor[4] ; 13.365 ; 13.365 ; 13.365 ; 13.365 ; +; addr[1] ; led_floor[5] ; 13.165 ; 13.165 ; 13.165 ; 13.165 ; +; addr[1] ; led_floor[6] ; 13.340 ; 13.340 ; 13.340 ; 13.340 ; +; addr[1] ; led_mod[0] ; 12.204 ; 12.204 ; 12.204 ; 12.204 ; +; addr[1] ; led_mod[1] ; 13.790 ; 13.790 ; 13.790 ; 13.790 ; +; addr[1] ; led_mod[2] ; 12.298 ; 12.298 ; 12.298 ; 12.298 ; +; addr[1] ; led_mod[3] ; 13.151 ; 13.151 ; 13.151 ; 13.151 ; +; addr[1] ; led_mod[4] ; 12.256 ; 12.256 ; 12.256 ; 12.256 ; +; addr[1] ; led_mod[5] ; 12.769 ; 12.769 ; 12.769 ; 12.769 ; +; addr[1] ; led_mod[6] ; 12.600 ; 12.600 ; 12.600 ; 12.600 ; +; addr[1] ; value[0] ; 10.601 ; 10.679 ; 10.679 ; 10.601 ; +; addr[1] ; value[1] ; 11.155 ; 11.182 ; 11.182 ; 11.155 ; +; addr[1] ; value[2] ; 11.481 ; 11.519 ; 11.519 ; 11.481 ; +; addr[1] ; value[3] ; 10.172 ; 11.046 ; 11.046 ; 10.172 ; +; addr[1] ; value[4] ; 10.705 ; 10.705 ; 10.705 ; 10.705 ; +; addr[1] ; value[5] ; 11.078 ; 11.078 ; 11.078 ; 11.078 ; +; addr[2] ; led_floor[0] ; 12.253 ; 12.253 ; 12.253 ; 12.253 ; +; addr[2] ; led_floor[1] ; 12.556 ; 12.212 ; 12.212 ; 12.556 ; +; addr[2] ; led_floor[2] ; 11.467 ; 11.467 ; 11.467 ; 11.467 ; +; addr[2] ; led_floor[3] ; 11.406 ; 11.406 ; 11.406 ; 11.406 ; +; addr[2] ; led_floor[4] ; 12.420 ; 11.911 ; 11.911 ; 12.420 ; +; addr[2] ; led_floor[5] ; 11.706 ; 11.706 ; 11.706 ; 11.706 ; +; addr[2] ; led_floor[6] ; 11.845 ; 12.684 ; 12.684 ; 11.845 ; +; addr[2] ; led_mod[0] ; 11.639 ; 11.639 ; 11.639 ; 11.639 ; +; addr[2] ; led_mod[1] ; 13.225 ; 13.225 ; 13.225 ; 13.225 ; +; addr[2] ; led_mod[2] ; 11.733 ; 11.733 ; 11.733 ; 11.733 ; +; addr[2] ; led_mod[3] ; 12.586 ; 12.586 ; 12.586 ; 12.586 ; +; addr[2] ; led_mod[4] ; 11.691 ; 11.691 ; 11.691 ; 11.691 ; +; addr[2] ; led_mod[5] ; 12.204 ; 12.204 ; 12.204 ; 12.204 ; +; addr[2] ; led_mod[6] ; 12.035 ; 12.035 ; 12.035 ; 12.035 ; +; addr[2] ; value[0] ; 10.153 ; 10.025 ; 10.025 ; 10.153 ; +; addr[2] ; value[1] ; 10.186 ; 9.865 ; 9.865 ; 10.186 ; +; addr[2] ; value[2] ; 9.727 ; 9.488 ; 9.488 ; 9.727 ; +; addr[2] ; value[3] ; 9.843 ; 9.607 ; 9.607 ; 9.843 ; +; addr[2] ; value[4] ; 10.697 ; 9.952 ; 9.952 ; 10.697 ; +; addr[2] ; value[5] ; 10.443 ; 9.583 ; 9.583 ; 10.443 ; +; addr[3] ; led_floor[0] ; 11.099 ; 11.099 ; 11.099 ; 11.099 ; +; addr[3] ; led_floor[1] ; 11.405 ; 11.058 ; 11.058 ; 11.405 ; +; addr[3] ; led_floor[2] ; 10.313 ; 10.313 ; 10.313 ; 10.313 ; +; addr[3] ; led_floor[3] ; 10.252 ; 10.252 ; 10.252 ; 10.252 ; +; addr[3] ; led_floor[4] ; 11.104 ; 10.757 ; 10.757 ; 11.104 ; +; addr[3] ; led_floor[5] ; 10.552 ; 10.552 ; 10.552 ; 10.552 ; +; addr[3] ; led_floor[6] ; 10.691 ; 11.038 ; 11.038 ; 10.691 ; +; addr[3] ; led_mod[0] ; 10.733 ; 10.733 ; 10.733 ; 10.733 ; +; addr[3] ; led_mod[1] ; 12.319 ; 12.319 ; 12.319 ; 12.319 ; +; addr[3] ; led_mod[2] ; 10.827 ; 10.827 ; 10.827 ; 10.827 ; +; addr[3] ; led_mod[3] ; 11.680 ; 11.680 ; 11.680 ; 11.680 ; +; addr[3] ; led_mod[4] ; 10.785 ; 10.785 ; 10.785 ; 10.785 ; +; addr[3] ; led_mod[5] ; 11.298 ; 11.298 ; 11.298 ; 11.298 ; +; addr[3] ; led_mod[6] ; 11.129 ; 11.129 ; 11.129 ; 11.129 ; +; addr[3] ; value[0] ; 9.138 ; 9.595 ; 9.595 ; 9.138 ; +; addr[3] ; value[1] ; 9.213 ; 8.981 ; 8.981 ; 9.213 ; +; addr[3] ; value[2] ; 9.186 ; 8.935 ; 8.935 ; 9.186 ; +; addr[3] ; value[3] ; 8.701 ; 9.087 ; 9.087 ; 8.701 ; +; addr[3] ; value[4] ; 8.641 ; 8.794 ; 8.794 ; 8.641 ; +; addr[3] ; value[5] ; 8.776 ; 8.429 ; 8.429 ; 8.776 ; +; addr[4] ; led_floor[0] ; 12.272 ; 12.272 ; 12.272 ; 12.272 ; +; addr[4] ; led_floor[1] ; 12.231 ; 12.474 ; 12.474 ; 12.231 ; +; addr[4] ; led_floor[2] ; 11.486 ; 11.486 ; 11.486 ; 11.486 ; +; addr[4] ; led_floor[3] ; 11.425 ; 11.425 ; 11.425 ; 11.425 ; +; addr[4] ; led_floor[4] ; 11.930 ; 12.173 ; 12.173 ; 11.930 ; +; addr[4] ; led_floor[5] ; 11.725 ; 11.725 ; 11.725 ; 11.725 ; +; addr[4] ; led_floor[6] ; 12.107 ; 11.864 ; 11.864 ; 12.107 ; +; addr[4] ; led_mod[0] ; 11.830 ; 11.830 ; 11.830 ; 11.830 ; +; addr[4] ; led_mod[1] ; 13.416 ; 13.416 ; 13.416 ; 13.416 ; +; addr[4] ; led_mod[2] ; 11.924 ; 11.924 ; 11.924 ; 11.924 ; +; addr[4] ; led_mod[3] ; 12.444 ; 12.444 ; 12.444 ; 12.444 ; +; addr[4] ; led_mod[4] ; 11.882 ; 11.882 ; 11.882 ; 11.882 ; +; addr[4] ; led_mod[5] ; 12.019 ; 12.019 ; 12.019 ; 12.019 ; +; addr[4] ; led_mod[6] ; 12.226 ; 12.226 ; 12.226 ; 12.226 ; +; addr[4] ; value[0] ; 9.602 ; 10.377 ; 10.377 ; 9.602 ; +; addr[4] ; value[1] ; 10.346 ; 10.306 ; 10.306 ; 10.346 ; +; addr[4] ; value[2] ; 9.862 ; 10.211 ; 10.211 ; 9.862 ; +; addr[4] ; value[3] ; 9.956 ; 9.798 ; 9.798 ; 9.956 ; +; addr[4] ; value[4] ; 9.815 ; 10.009 ; 10.009 ; 9.815 ; +; addr[4] ; value[5] ; 9.602 ; 9.845 ; 9.845 ; 9.602 ; +; addr[5] ; led_floor[0] ; 11.919 ; 11.919 ; 11.919 ; 11.919 ; +; addr[5] ; led_floor[1] ; 11.878 ; 11.878 ; 11.878 ; 11.878 ; +; addr[5] ; led_floor[2] ; 11.133 ; 11.133 ; 11.133 ; 11.133 ; +; addr[5] ; led_floor[3] ; 11.072 ; 11.072 ; 11.072 ; 11.072 ; +; addr[5] ; led_floor[4] ; 11.577 ; 11.577 ; 11.577 ; 11.577 ; +; addr[5] ; led_floor[5] ; 11.372 ; 11.372 ; 11.372 ; 11.372 ; +; addr[5] ; led_floor[6] ; 11.511 ; 11.511 ; 11.511 ; 11.511 ; +; addr[5] ; led_mod[0] ; 11.527 ; 11.527 ; 11.527 ; 11.527 ; +; addr[5] ; led_mod[1] ; 13.097 ; 13.097 ; 13.097 ; 13.097 ; +; addr[5] ; led_mod[2] ; 11.621 ; 11.621 ; 11.621 ; 11.621 ; +; addr[5] ; led_mod[3] ; 12.327 ; 12.327 ; 12.327 ; 12.327 ; +; addr[5] ; led_mod[4] ; 11.579 ; 11.579 ; 11.579 ; 11.579 ; +; addr[5] ; led_mod[5] ; 11.902 ; 11.902 ; 11.902 ; 11.902 ; +; addr[5] ; led_mod[6] ; 11.923 ; 11.923 ; 11.923 ; 11.923 ; +; addr[5] ; value[0] ; 9.485 ; 9.485 ; 9.485 ; 9.485 ; +; addr[5] ; value[1] ; 9.356 ; 9.356 ; 9.356 ; 9.356 ; +; addr[5] ; value[2] ; 9.754 ; 9.754 ; 9.754 ; 9.754 ; +; addr[5] ; value[3] ; 9.510 ; 9.495 ; 9.495 ; 9.510 ; +; addr[5] ; value[4] ; 10.283 ; 9.357 ; 9.357 ; 10.283 ; +; addr[5] ; value[5] ; 9.249 ; 9.249 ; 9.249 ; 9.249 ; +; mode[0] ; led_mode[0] ; 9.216 ; ; ; 9.216 ; +; mode[0] ; led_mode[2] ; ; 9.441 ; 9.441 ; ; +; mode[0] ; led_mode[3] ; 8.501 ; ; ; 8.501 ; +; mode[0] ; led_mode[4] ; 6.054 ; ; ; 6.054 ; +; mode[0] ; led_mode[5] ; 8.878 ; ; ; 8.878 ; +; mode[1] ; led_mode[0] ; ; 10.087 ; 10.087 ; ; +; mode[1] ; led_mode[2] ; 10.311 ; ; ; 10.311 ; +; mode[1] ; led_mode[3] ; ; 9.372 ; 9.372 ; ; +; mode[1] ; led_mode[5] ; 9.702 ; ; ; 9.702 ; ; mode[1] ; led_mode[6] ; ; 5.370 ; 5.370 ; ; -; mode_segment ; led_floor[0] ; 7.713 ; 7.713 ; 7.713 ; 7.713 ; -; mode_segment ; led_floor[1] ; 7.485 ; ; ; 7.485 ; -; mode_segment ; led_floor[2] ; 8.086 ; 8.086 ; 8.086 ; 8.086 ; -; mode_segment ; led_floor[3] ; 7.501 ; 7.501 ; 7.501 ; 7.501 ; -; mode_segment ; led_floor[4] ; 7.526 ; 7.526 ; 7.526 ; 7.526 ; -; mode_segment ; led_floor[5] ; ; 8.163 ; 8.163 ; ; -; mode_segment ; led_floor[6] ; ; 7.515 ; 7.515 ; ; -; mode_segment ; led_mod[0] ; 8.653 ; 8.653 ; 8.653 ; 8.653 ; -; mode_segment ; led_mod[1] ; 9.260 ; 9.260 ; 9.260 ; 9.260 ; -; mode_segment ; led_mod[2] ; 9.024 ; 9.024 ; 9.024 ; 9.024 ; -; mode_segment ; led_mod[3] ; 8.950 ; 8.950 ; 8.950 ; 8.950 ; -; mode_segment ; led_mod[4] ; 8.526 ; 8.526 ; 8.526 ; 8.526 ; -; mode_segment ; led_mod[5] ; 8.051 ; 8.891 ; 8.891 ; 8.051 ; -; mode_segment ; led_mod[6] ; 8.870 ; 8.870 ; 8.870 ; 8.870 ; +; mode_segment ; led_floor[0] ; 9.254 ; 9.254 ; 9.254 ; 9.254 ; +; mode_segment ; led_floor[1] ; 9.236 ; ; ; 9.236 ; +; mode_segment ; led_floor[2] ; 8.463 ; 8.463 ; 8.463 ; 8.463 ; +; mode_segment ; led_floor[3] ; 8.311 ; 8.311 ; 8.311 ; 8.311 ; +; mode_segment ; led_floor[4] ; 8.815 ; 8.815 ; 8.815 ; 8.815 ; +; mode_segment ; led_floor[5] ; ; 8.610 ; 8.610 ; ; +; mode_segment ; led_floor[6] ; ; 8.840 ; 8.840 ; ; +; mode_segment ; led_mod[0] ; 10.152 ; 10.193 ; 10.193 ; 10.152 ; +; mode_segment ; led_mod[1] ; 10.223 ; 10.223 ; 10.223 ; 10.223 ; +; mode_segment ; led_mod[2] ; 10.289 ; 10.289 ; 10.289 ; 10.289 ; +; mode_segment ; led_mod[3] ; 9.006 ; 9.006 ; 9.006 ; 9.006 ; +; mode_segment ; led_mod[4] ; 10.197 ; 10.197 ; 10.197 ; 10.197 ; +; mode_segment ; led_mod[5] ; 8.586 ; 9.053 ; 9.053 ; 8.586 ; +; mode_segment ; led_mod[6] ; 10.540 ; 10.540 ; 10.540 ; 10.540 ; +--------------+--------------+--------+--------+--------+--------+ @@ -495,150 +495,150 @@ No paths to report. +--------------+--------------+-------+-------+-------+-------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +--------------+--------------+-------+-------+-------+-------+ -; addr[0] ; led_floor[0] ; 7.644 ; 7.644 ; 7.644 ; 7.644 ; -; addr[0] ; led_floor[1] ; 7.796 ; 7.796 ; 7.796 ; 7.796 ; -; addr[0] ; led_floor[2] ; 7.769 ; 7.769 ; 7.769 ; 7.769 ; -; addr[0] ; led_floor[3] ; 7.807 ; 7.807 ; 7.807 ; 7.807 ; -; addr[0] ; led_floor[4] ; 7.824 ; 7.824 ; 7.824 ; 7.824 ; -; addr[0] ; led_floor[5] ; 7.843 ; 7.843 ; 7.843 ; 7.843 ; -; addr[0] ; led_floor[6] ; 7.828 ; 7.828 ; 7.828 ; 7.828 ; -; addr[0] ; led_mod[0] ; 8.776 ; 8.776 ; 8.776 ; 8.776 ; -; addr[0] ; led_mod[1] ; 9.303 ; 9.303 ; 9.303 ; 9.303 ; -; addr[0] ; led_mod[2] ; 8.748 ; 8.748 ; 8.748 ; 8.748 ; -; addr[0] ; led_mod[3] ; 9.359 ; 9.359 ; 9.359 ; 9.359 ; -; addr[0] ; led_mod[4] ; 8.602 ; 8.602 ; 8.602 ; 8.602 ; -; addr[0] ; led_mod[5] ; 8.865 ; 8.865 ; 8.865 ; 8.865 ; -; addr[0] ; led_mod[6] ; 8.708 ; 8.708 ; 8.708 ; 8.708 ; -; addr[0] ; value[0] ; 5.904 ; 5.904 ; 5.904 ; 5.904 ; -; addr[0] ; value[1] ; 6.147 ; 6.147 ; 6.147 ; 6.147 ; -; addr[0] ; value[2] ; 6.139 ; 6.139 ; 6.139 ; 6.139 ; -; addr[0] ; value[3] ; 5.829 ; 5.829 ; 5.829 ; 5.829 ; -; addr[0] ; value[4] ; 5.965 ; 5.965 ; 5.965 ; 5.965 ; -; addr[0] ; value[5] ; 6.070 ; 6.070 ; 6.070 ; 6.070 ; -; addr[1] ; led_floor[0] ; 7.518 ; 7.518 ; 7.518 ; 7.518 ; -; addr[1] ; led_floor[1] ; 7.670 ; 7.670 ; 7.670 ; 7.670 ; -; addr[1] ; led_floor[2] ; 7.643 ; 7.643 ; 7.643 ; 7.643 ; -; addr[1] ; led_floor[3] ; 7.681 ; 7.681 ; 7.681 ; 7.681 ; -; addr[1] ; led_floor[4] ; 7.698 ; 7.698 ; 7.698 ; 7.698 ; -; addr[1] ; led_floor[5] ; 7.717 ; 7.717 ; 7.717 ; 7.717 ; -; addr[1] ; led_floor[6] ; 7.702 ; 7.702 ; 7.702 ; 7.702 ; -; addr[1] ; led_mod[0] ; 8.650 ; 8.650 ; 8.650 ; 8.650 ; -; addr[1] ; led_mod[1] ; 9.177 ; 9.177 ; 9.177 ; 9.177 ; -; addr[1] ; led_mod[2] ; 8.622 ; 8.622 ; 8.622 ; 8.622 ; -; addr[1] ; led_mod[3] ; 9.233 ; 9.233 ; 9.233 ; 9.233 ; -; addr[1] ; led_mod[4] ; 8.476 ; 8.476 ; 8.476 ; 8.476 ; -; addr[1] ; led_mod[5] ; 8.739 ; 8.739 ; 8.739 ; 8.739 ; -; addr[1] ; led_mod[6] ; 8.582 ; 8.582 ; 8.582 ; 8.582 ; -; addr[1] ; value[0] ; 5.778 ; 5.778 ; 5.778 ; 5.778 ; -; addr[1] ; value[1] ; 5.741 ; 5.741 ; 5.741 ; 5.741 ; -; addr[1] ; value[2] ; 5.806 ; 5.806 ; 5.806 ; 5.806 ; -; addr[1] ; value[3] ; 5.692 ; 5.692 ; 5.692 ; 5.692 ; -; addr[1] ; value[4] ; 5.515 ; 5.515 ; 5.515 ; 5.515 ; -; addr[1] ; value[5] ; 5.793 ; 5.793 ; 5.793 ; 5.793 ; -; addr[2] ; led_floor[0] ; 7.237 ; 7.237 ; 7.237 ; 7.237 ; -; addr[2] ; led_floor[1] ; 7.389 ; 6.971 ; 6.971 ; 7.389 ; -; addr[2] ; led_floor[2] ; 7.362 ; 7.362 ; 7.362 ; 7.362 ; -; addr[2] ; led_floor[3] ; 7.400 ; 7.400 ; 7.400 ; 7.400 ; -; addr[2] ; led_floor[4] ; 7.417 ; 7.417 ; 7.417 ; 7.417 ; -; addr[2] ; led_floor[5] ; 7.436 ; 7.436 ; 7.436 ; 7.436 ; -; addr[2] ; led_floor[6] ; 7.003 ; 7.421 ; 7.421 ; 7.003 ; -; addr[2] ; led_mod[0] ; 8.369 ; 8.369 ; 8.369 ; 8.369 ; -; addr[2] ; led_mod[1] ; 8.896 ; 8.896 ; 8.896 ; 8.896 ; -; addr[2] ; led_mod[2] ; 8.341 ; 8.341 ; 8.341 ; 8.341 ; -; addr[2] ; led_mod[3] ; 8.952 ; 8.952 ; 8.952 ; 8.952 ; -; addr[2] ; led_mod[4] ; 8.195 ; 8.195 ; 8.195 ; 8.195 ; -; addr[2] ; led_mod[5] ; 8.458 ; 8.458 ; 8.458 ; 8.458 ; -; addr[2] ; led_mod[6] ; 8.301 ; 8.301 ; 8.301 ; 8.301 ; -; addr[2] ; value[0] ; 5.497 ; 5.079 ; 5.079 ; 5.497 ; -; addr[2] ; value[1] ; 5.204 ; 5.204 ; 5.204 ; 5.204 ; -; addr[2] ; value[2] ; 5.536 ; 5.467 ; 5.467 ; 5.536 ; -; addr[2] ; value[3] ; 4.938 ; 4.938 ; 4.938 ; 4.938 ; -; addr[2] ; value[4] ; 4.960 ; 4.960 ; 4.960 ; 4.960 ; -; addr[2] ; value[5] ; 4.789 ; 4.789 ; 4.789 ; 4.789 ; -; addr[3] ; led_floor[0] ; 6.725 ; 6.725 ; 6.725 ; 6.725 ; -; addr[3] ; led_floor[1] ; 6.857 ; 6.877 ; 6.877 ; 6.857 ; -; addr[3] ; led_floor[2] ; 6.850 ; 6.850 ; 6.850 ; 6.850 ; -; addr[3] ; led_floor[3] ; 6.888 ; 6.888 ; 6.888 ; 6.888 ; -; addr[3] ; led_floor[4] ; 6.905 ; 6.905 ; 6.905 ; 6.905 ; -; addr[3] ; led_floor[5] ; 6.924 ; 6.924 ; 6.924 ; 6.924 ; -; addr[3] ; led_floor[6] ; 6.909 ; 6.889 ; 6.889 ; 6.909 ; -; addr[3] ; led_mod[0] ; 7.857 ; 7.857 ; 7.857 ; 7.857 ; -; addr[3] ; led_mod[1] ; 8.384 ; 8.384 ; 8.384 ; 8.384 ; -; addr[3] ; led_mod[2] ; 7.829 ; 7.829 ; 7.829 ; 7.829 ; -; addr[3] ; led_mod[3] ; 8.440 ; 8.440 ; 8.440 ; 8.440 ; -; addr[3] ; led_mod[4] ; 7.683 ; 7.683 ; 7.683 ; 7.683 ; -; addr[3] ; led_mod[5] ; 7.946 ; 7.946 ; 7.946 ; 7.946 ; -; addr[3] ; led_mod[6] ; 7.789 ; 7.789 ; 7.789 ; 7.789 ; -; addr[3] ; value[0] ; 4.821 ; 4.985 ; 4.985 ; 4.821 ; -; addr[3] ; value[1] ; 5.180 ; 5.253 ; 5.253 ; 5.180 ; -; addr[3] ; value[2] ; 5.063 ; 5.230 ; 5.230 ; 5.063 ; -; addr[3] ; value[3] ; 4.765 ; 4.765 ; 4.765 ; 4.765 ; -; addr[3] ; value[4] ; 4.955 ; 4.955 ; 4.955 ; 4.955 ; -; addr[3] ; value[5] ; 4.940 ; 5.140 ; 5.140 ; 4.940 ; -; addr[4] ; led_floor[0] ; 7.030 ; 7.030 ; 7.030 ; 7.030 ; -; addr[4] ; led_floor[1] ; 6.580 ; 7.182 ; 7.182 ; 6.580 ; -; addr[4] ; led_floor[2] ; 7.155 ; 7.155 ; 7.155 ; 7.155 ; -; addr[4] ; led_floor[3] ; 7.193 ; 7.193 ; 7.193 ; 7.193 ; -; addr[4] ; led_floor[4] ; 7.210 ; 7.210 ; 7.210 ; 7.210 ; -; addr[4] ; led_floor[5] ; 7.229 ; 7.229 ; 7.229 ; 7.229 ; -; addr[4] ; led_floor[6] ; 7.214 ; 6.612 ; 6.612 ; 7.214 ; -; addr[4] ; led_mod[0] ; 8.162 ; 8.162 ; 8.162 ; 8.162 ; -; addr[4] ; led_mod[1] ; 8.689 ; 8.689 ; 8.689 ; 8.689 ; -; addr[4] ; led_mod[2] ; 8.134 ; 8.134 ; 8.134 ; 8.134 ; -; addr[4] ; led_mod[3] ; 8.745 ; 8.745 ; 8.745 ; 8.745 ; -; addr[4] ; led_mod[4] ; 7.988 ; 7.988 ; 7.988 ; 7.988 ; -; addr[4] ; led_mod[5] ; 8.251 ; 8.251 ; 8.251 ; 8.251 ; -; addr[4] ; led_mod[6] ; 8.094 ; 8.094 ; 8.094 ; 8.094 ; -; addr[4] ; value[0] ; 4.540 ; 5.290 ; 5.290 ; 4.540 ; -; addr[4] ; value[1] ; 4.851 ; 4.865 ; 4.865 ; 4.851 ; -; addr[4] ; value[2] ; 4.950 ; 5.526 ; 5.526 ; 4.950 ; -; addr[4] ; value[3] ; 4.695 ; 4.695 ; 4.695 ; 4.695 ; -; addr[4] ; value[4] ; 4.888 ; 4.888 ; 4.888 ; 4.888 ; -; addr[4] ; value[5] ; 4.740 ; 4.740 ; 4.740 ; 4.740 ; -; addr[5] ; led_floor[0] ; 6.737 ; 6.737 ; 6.737 ; 6.737 ; -; addr[5] ; led_floor[1] ; 6.284 ; 6.889 ; 6.889 ; 6.284 ; -; addr[5] ; led_floor[2] ; 6.862 ; 6.862 ; 6.862 ; 6.862 ; -; addr[5] ; led_floor[3] ; 6.900 ; 6.900 ; 6.900 ; 6.900 ; -; addr[5] ; led_floor[4] ; 6.917 ; 6.917 ; 6.917 ; 6.917 ; -; addr[5] ; led_floor[5] ; 6.936 ; 6.936 ; 6.936 ; 6.936 ; -; addr[5] ; led_floor[6] ; 6.921 ; 6.316 ; 6.316 ; 6.921 ; -; addr[5] ; led_mod[0] ; 7.869 ; 7.869 ; 7.869 ; 7.869 ; -; addr[5] ; led_mod[1] ; 8.396 ; 8.396 ; 8.396 ; 8.396 ; -; addr[5] ; led_mod[2] ; 7.841 ; 7.841 ; 7.841 ; 7.841 ; -; addr[5] ; led_mod[3] ; 8.452 ; 8.452 ; 8.452 ; 8.452 ; -; addr[5] ; led_mod[4] ; 7.695 ; 7.695 ; 7.695 ; 7.695 ; -; addr[5] ; led_mod[5] ; 7.958 ; 7.958 ; 7.958 ; 7.958 ; -; addr[5] ; led_mod[6] ; 7.801 ; 7.801 ; 7.801 ; 7.801 ; -; addr[5] ; value[0] ; 4.250 ; 4.997 ; 4.997 ; 4.250 ; -; addr[5] ; value[1] ; 4.611 ; 4.611 ; 4.611 ; 4.611 ; -; addr[5] ; value[2] ; 4.648 ; 5.233 ; 5.233 ; 4.648 ; -; addr[5] ; value[3] ; 4.474 ; 4.474 ; 4.474 ; 4.474 ; -; addr[5] ; value[4] ; 4.592 ; 4.592 ; 4.592 ; 4.592 ; -; addr[5] ; value[5] ; 4.335 ; 4.335 ; 4.335 ; 4.335 ; -; mode[0] ; led_mode[0] ; 4.002 ; ; ; 4.002 ; -; mode[0] ; led_mode[2] ; ; 4.174 ; 4.174 ; ; -; mode[0] ; led_mode[3] ; 4.362 ; ; ; 4.362 ; -; mode[0] ; led_mode[4] ; 2.941 ; ; ; 2.941 ; -; mode[0] ; led_mode[5] ; 3.873 ; ; ; 3.873 ; -; mode[1] ; led_mode[0] ; ; 3.911 ; 3.911 ; ; -; mode[1] ; led_mode[2] ; 4.111 ; ; ; 4.111 ; -; mode[1] ; led_mode[3] ; ; 4.271 ; 4.271 ; ; -; mode[1] ; led_mode[5] ; 3.799 ; ; ; 3.799 ; +; addr[0] ; led_floor[0] ; 7.884 ; 7.884 ; 7.884 ; 7.884 ; +; addr[0] ; led_floor[1] ; 7.874 ; 7.874 ; 7.874 ; 7.874 ; +; addr[0] ; led_floor[2] ; 7.627 ; 7.627 ; 7.627 ; 7.627 ; +; addr[0] ; led_floor[3] ; 7.755 ; 7.755 ; 7.755 ; 7.755 ; +; addr[0] ; led_floor[4] ; 7.934 ; 7.934 ; 7.934 ; 7.934 ; +; addr[0] ; led_floor[5] ; 7.887 ; 7.887 ; 7.887 ; 7.887 ; +; addr[0] ; led_floor[6] ; 7.956 ; 7.956 ; 7.956 ; 7.956 ; +; addr[0] ; led_mod[0] ; 8.852 ; 8.852 ; 8.852 ; 8.852 ; +; addr[0] ; led_mod[1] ; 9.365 ; 9.365 ; 9.365 ; 9.365 ; +; addr[0] ; led_mod[2] ; 8.894 ; 8.894 ; 8.894 ; 8.894 ; +; addr[0] ; led_mod[3] ; 9.001 ; 9.001 ; 9.001 ; 9.001 ; +; addr[0] ; led_mod[4] ; 8.871 ; 8.871 ; 8.871 ; 8.871 ; +; addr[0] ; led_mod[5] ; 8.742 ; 8.742 ; 8.742 ; 8.742 ; +; addr[0] ; led_mod[6] ; 9.001 ; 9.001 ; 9.001 ; 9.001 ; +; addr[0] ; value[0] ; 6.248 ; 6.248 ; 6.248 ; 6.248 ; +; addr[0] ; value[1] ; 6.211 ; 6.211 ; 6.211 ; 6.211 ; +; addr[0] ; value[2] ; 6.575 ; 6.575 ; 6.575 ; 6.575 ; +; addr[0] ; value[3] ; 6.446 ; 6.446 ; 6.446 ; 6.446 ; +; addr[0] ; value[4] ; 6.362 ; 6.362 ; 6.362 ; 6.362 ; +; addr[0] ; value[5] ; 6.421 ; 6.297 ; 6.297 ; 6.421 ; +; addr[1] ; led_floor[0] ; 7.281 ; 7.281 ; 7.281 ; 7.281 ; +; addr[1] ; led_floor[1] ; 7.271 ; 7.271 ; 7.271 ; 7.271 ; +; addr[1] ; led_floor[2] ; 7.024 ; 7.024 ; 7.024 ; 7.024 ; +; addr[1] ; led_floor[3] ; 7.152 ; 7.152 ; 7.152 ; 7.152 ; +; addr[1] ; led_floor[4] ; 7.331 ; 7.331 ; 7.331 ; 7.331 ; +; addr[1] ; led_floor[5] ; 7.284 ; 7.284 ; 7.284 ; 7.284 ; +; addr[1] ; led_floor[6] ; 7.353 ; 7.353 ; 7.353 ; 7.353 ; +; addr[1] ; led_mod[0] ; 8.249 ; 8.249 ; 8.249 ; 8.249 ; +; addr[1] ; led_mod[1] ; 8.762 ; 8.762 ; 8.762 ; 8.762 ; +; addr[1] ; led_mod[2] ; 8.291 ; 8.291 ; 8.291 ; 8.291 ; +; addr[1] ; led_mod[3] ; 8.398 ; 8.398 ; 8.398 ; 8.398 ; +; addr[1] ; led_mod[4] ; 8.268 ; 8.268 ; 8.268 ; 8.268 ; +; addr[1] ; led_mod[5] ; 8.139 ; 8.139 ; 8.139 ; 8.139 ; +; addr[1] ; led_mod[6] ; 8.398 ; 8.398 ; 8.398 ; 8.398 ; +; addr[1] ; value[0] ; 5.576 ; 5.576 ; 5.576 ; 5.576 ; +; addr[1] ; value[1] ; 5.659 ; 5.659 ; 5.659 ; 5.659 ; +; addr[1] ; value[2] ; 6.231 ; 6.231 ; 6.231 ; 6.231 ; +; addr[1] ; value[3] ; 6.051 ; 6.051 ; 6.051 ; 6.051 ; +; addr[1] ; value[4] ; 5.723 ; 5.723 ; 5.723 ; 5.723 ; +; addr[1] ; value[5] ; 5.839 ; 5.839 ; 5.839 ; 5.839 ; +; addr[2] ; led_floor[0] ; 7.110 ; 7.110 ; 7.110 ; 7.110 ; +; addr[2] ; led_floor[1] ; 7.100 ; 7.032 ; 7.032 ; 7.100 ; +; addr[2] ; led_floor[2] ; 6.853 ; 6.853 ; 6.853 ; 6.853 ; +; addr[2] ; led_floor[3] ; 6.981 ; 6.981 ; 6.981 ; 6.981 ; +; addr[2] ; led_floor[4] ; 7.160 ; 7.160 ; 7.160 ; 7.160 ; +; addr[2] ; led_floor[5] ; 7.113 ; 7.113 ; 7.113 ; 7.113 ; +; addr[2] ; led_floor[6] ; 7.114 ; 7.182 ; 7.182 ; 7.114 ; +; addr[2] ; led_mod[0] ; 8.078 ; 8.078 ; 8.078 ; 8.078 ; +; addr[2] ; led_mod[1] ; 8.591 ; 8.591 ; 8.591 ; 8.591 ; +; addr[2] ; led_mod[2] ; 8.120 ; 8.120 ; 8.120 ; 8.120 ; +; addr[2] ; led_mod[3] ; 8.227 ; 8.227 ; 8.227 ; 8.227 ; +; addr[2] ; led_mod[4] ; 8.097 ; 8.097 ; 8.097 ; 8.097 ; +; addr[2] ; led_mod[5] ; 7.968 ; 7.968 ; 7.968 ; 7.968 ; +; addr[2] ; led_mod[6] ; 8.227 ; 8.227 ; 8.227 ; 8.227 ; +; addr[2] ; value[0] ; 5.055 ; 5.055 ; 5.055 ; 5.055 ; +; addr[2] ; value[1] ; 5.633 ; 4.930 ; 4.930 ; 5.633 ; +; addr[2] ; value[2] ; 5.094 ; 5.171 ; 5.171 ; 5.094 ; +; addr[2] ; value[3] ; 5.301 ; 5.301 ; 5.301 ; 5.301 ; +; addr[2] ; value[4] ; 5.351 ; 5.062 ; 5.062 ; 5.351 ; +; addr[2] ; value[5] ; 5.373 ; 5.003 ; 5.003 ; 5.373 ; +; addr[3] ; led_floor[0] ; 6.742 ; 6.742 ; 6.742 ; 6.742 ; +; addr[3] ; led_floor[1] ; 6.732 ; 6.732 ; 6.732 ; 6.732 ; +; addr[3] ; led_floor[2] ; 6.485 ; 6.485 ; 6.485 ; 6.485 ; +; addr[3] ; led_floor[3] ; 6.613 ; 6.613 ; 6.613 ; 6.613 ; +; addr[3] ; led_floor[4] ; 6.792 ; 6.792 ; 6.792 ; 6.792 ; +; addr[3] ; led_floor[5] ; 6.745 ; 6.745 ; 6.745 ; 6.745 ; +; addr[3] ; led_floor[6] ; 6.814 ; 6.814 ; 6.814 ; 6.814 ; +; addr[3] ; led_mod[0] ; 7.710 ; 7.710 ; 7.710 ; 7.710 ; +; addr[3] ; led_mod[1] ; 8.223 ; 8.223 ; 8.223 ; 8.223 ; +; addr[3] ; led_mod[2] ; 7.752 ; 7.752 ; 7.752 ; 7.752 ; +; addr[3] ; led_mod[3] ; 7.859 ; 7.859 ; 7.859 ; 7.859 ; +; addr[3] ; led_mod[4] ; 7.729 ; 7.729 ; 7.729 ; 7.729 ; +; addr[3] ; led_mod[5] ; 7.600 ; 7.600 ; 7.600 ; 7.600 ; +; addr[3] ; led_mod[6] ; 7.859 ; 7.859 ; 7.859 ; 7.859 ; +; addr[3] ; value[0] ; 4.707 ; 4.707 ; 4.707 ; 4.707 ; +; addr[3] ; value[1] ; 5.265 ; 5.265 ; 5.265 ; 5.265 ; +; addr[3] ; value[2] ; 4.834 ; 4.834 ; 4.834 ; 4.834 ; +; addr[3] ; value[3] ; 4.611 ; 4.664 ; 4.664 ; 4.611 ; +; addr[3] ; value[4] ; 4.834 ; 4.834 ; 4.834 ; 4.834 ; +; addr[3] ; value[5] ; 4.775 ; 4.775 ; 4.775 ; 4.775 ; +; addr[4] ; led_floor[0] ; 7.211 ; 7.211 ; 7.211 ; 7.211 ; +; addr[4] ; led_floor[1] ; 7.133 ; 7.201 ; 7.201 ; 7.133 ; +; addr[4] ; led_floor[2] ; 6.954 ; 6.954 ; 6.954 ; 6.954 ; +; addr[4] ; led_floor[3] ; 7.082 ; 7.082 ; 7.082 ; 7.082 ; +; addr[4] ; led_floor[4] ; 7.261 ; 7.261 ; 7.261 ; 7.261 ; +; addr[4] ; led_floor[5] ; 7.214 ; 7.214 ; 7.214 ; 7.214 ; +; addr[4] ; led_floor[6] ; 7.283 ; 7.215 ; 7.215 ; 7.283 ; +; addr[4] ; led_mod[0] ; 8.179 ; 8.179 ; 8.179 ; 8.179 ; +; addr[4] ; led_mod[1] ; 8.692 ; 8.692 ; 8.692 ; 8.692 ; +; addr[4] ; led_mod[2] ; 8.221 ; 8.221 ; 8.221 ; 8.221 ; +; addr[4] ; led_mod[3] ; 8.328 ; 8.328 ; 8.328 ; 8.328 ; +; addr[4] ; led_mod[4] ; 8.198 ; 8.198 ; 8.198 ; 8.198 ; +; addr[4] ; led_mod[5] ; 8.069 ; 8.069 ; 8.069 ; 8.069 ; +; addr[4] ; led_mod[6] ; 8.328 ; 8.328 ; 8.328 ; 8.328 ; +; addr[4] ; value[0] ; 4.624 ; 4.965 ; 4.965 ; 4.624 ; +; addr[4] ; value[1] ; 4.640 ; 5.734 ; 5.734 ; 4.640 ; +; addr[4] ; value[2] ; 4.621 ; 5.248 ; 5.248 ; 4.621 ; +; addr[4] ; value[3] ; 4.659 ; 4.846 ; 4.846 ; 4.659 ; +; addr[4] ; value[4] ; 4.884 ; 4.884 ; 4.884 ; 4.884 ; +; addr[4] ; value[5] ; 4.713 ; 4.819 ; 4.819 ; 4.713 ; +; addr[5] ; led_floor[0] ; 6.909 ; 6.909 ; 6.909 ; 6.909 ; +; addr[5] ; led_floor[1] ; 6.899 ; 6.899 ; 6.899 ; 6.899 ; +; addr[5] ; led_floor[2] ; 6.652 ; 6.652 ; 6.652 ; 6.652 ; +; addr[5] ; led_floor[3] ; 6.780 ; 6.780 ; 6.780 ; 6.780 ; +; addr[5] ; led_floor[4] ; 6.959 ; 6.959 ; 6.959 ; 6.959 ; +; addr[5] ; led_floor[5] ; 6.912 ; 6.912 ; 6.912 ; 6.912 ; +; addr[5] ; led_floor[6] ; 6.981 ; 6.981 ; 6.981 ; 6.981 ; +; addr[5] ; led_mod[0] ; 7.877 ; 7.877 ; 7.877 ; 7.877 ; +; addr[5] ; led_mod[1] ; 8.390 ; 8.390 ; 8.390 ; 8.390 ; +; addr[5] ; led_mod[2] ; 7.919 ; 7.919 ; 7.919 ; 7.919 ; +; addr[5] ; led_mod[3] ; 8.026 ; 8.026 ; 8.026 ; 8.026 ; +; addr[5] ; led_mod[4] ; 7.896 ; 7.896 ; 7.896 ; 7.896 ; +; addr[5] ; led_mod[5] ; 7.767 ; 7.767 ; 7.767 ; 7.767 ; +; addr[5] ; led_mod[6] ; 8.026 ; 8.026 ; 8.026 ; 8.026 ; +; addr[5] ; value[0] ; 4.874 ; 4.874 ; 4.874 ; 4.874 ; +; addr[5] ; value[1] ; 5.432 ; 5.432 ; 5.432 ; 5.432 ; +; addr[5] ; value[2] ; 4.983 ; 4.983 ; 4.983 ; 4.983 ; +; addr[5] ; value[3] ; 4.394 ; 4.394 ; 4.394 ; 4.394 ; +; addr[5] ; value[4] ; 4.807 ; 4.807 ; 4.807 ; 4.807 ; +; addr[5] ; value[5] ; 4.493 ; 4.493 ; 4.493 ; 4.493 ; +; mode[0] ; led_mode[0] ; 4.093 ; ; ; 4.093 ; +; mode[0] ; led_mode[2] ; ; 4.252 ; 4.252 ; ; +; mode[0] ; led_mode[3] ; 3.801 ; ; ; 3.801 ; +; mode[0] ; led_mode[4] ; 2.926 ; ; ; 2.926 ; +; mode[0] ; led_mode[5] ; 3.962 ; ; ; 3.962 ; +; mode[1] ; led_mode[0] ; ; 4.433 ; 4.433 ; ; +; mode[1] ; led_mode[2] ; 4.619 ; ; ; 4.619 ; +; mode[1] ; led_mode[3] ; ; 4.141 ; 4.141 ; ; +; mode[1] ; led_mode[5] ; 4.301 ; ; ; 4.301 ; ; mode[1] ; led_mode[6] ; ; 2.667 ; 2.667 ; ; -; mode_segment ; led_floor[0] ; 3.492 ; 3.492 ; 3.492 ; 3.492 ; -; mode_segment ; led_floor[1] ; 3.418 ; ; ; 3.418 ; -; mode_segment ; led_floor[2] ; 3.619 ; 3.619 ; 3.619 ; 3.619 ; -; mode_segment ; led_floor[3] ; 3.407 ; 3.407 ; 3.407 ; 3.407 ; -; mode_segment ; led_floor[4] ; 3.424 ; 3.424 ; 3.424 ; 3.424 ; -; mode_segment ; led_floor[5] ; ; 3.691 ; 3.691 ; ; -; mode_segment ; led_floor[6] ; ; 3.430 ; 3.430 ; ; -; mode_segment ; led_mod[0] ; 3.974 ; 3.854 ; 3.854 ; 3.974 ; -; mode_segment ; led_mod[1] ; 4.049 ; 4.049 ; 4.049 ; 4.049 ; -; mode_segment ; led_mod[2] ; 3.956 ; 3.956 ; 3.956 ; 3.956 ; -; mode_segment ; led_mod[3] ; 3.974 ; 3.974 ; 3.974 ; 3.974 ; -; mode_segment ; led_mod[4] ; 3.803 ; 3.803 ; 3.803 ; 3.803 ; -; mode_segment ; led_mod[5] ; 3.906 ; 3.906 ; 3.906 ; 3.906 ; -; mode_segment ; led_mod[6] ; 3.914 ; 3.914 ; 3.914 ; 3.914 ; +; mode_segment ; led_floor[0] ; 4.123 ; 4.123 ; 4.123 ; 4.123 ; +; mode_segment ; led_floor[1] ; 4.101 ; ; ; 4.101 ; +; mode_segment ; led_floor[2] ; 3.868 ; 3.868 ; 3.868 ; 3.868 ; +; mode_segment ; led_floor[3] ; 3.791 ; 3.791 ; 3.791 ; 3.791 ; +; mode_segment ; led_floor[4] ; 3.961 ; 3.961 ; 3.961 ; 3.961 ; +; mode_segment ; led_floor[5] ; ; 3.920 ; 3.920 ; ; +; mode_segment ; led_floor[6] ; ; 3.983 ; 3.983 ; ; +; mode_segment ; led_mod[0] ; 4.450 ; 4.450 ; 4.450 ; 4.450 ; +; mode_segment ; led_mod[1] ; 4.543 ; 4.543 ; 4.543 ; 4.543 ; +; mode_segment ; led_mod[2] ; 4.491 ; 4.491 ; 4.491 ; 4.491 ; +; mode_segment ; led_mod[3] ; 4.177 ; 4.177 ; 4.177 ; 4.177 ; +; mode_segment ; led_mod[4] ; 4.463 ; 4.463 ; 4.463 ; 4.463 ; +; mode_segment ; led_mod[5] ; 4.083 ; 4.083 ; 4.083 ; 4.083 ; +; mode_segment ; led_mod[6] ; 4.597 ; 4.597 ; 4.597 ; 4.597 ; +--------------+--------------+-------+-------+-------+-------+ @@ -647,150 +647,150 @@ No paths to report. +--------------+--------------+-------+-------+-------+-------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +--------------+--------------+-------+-------+-------+-------+ -; addr[0] ; led_floor[0] ; 5.302 ; 5.302 ; 5.302 ; 5.302 ; -; addr[0] ; led_floor[1] ; 5.426 ; 5.224 ; 5.224 ; 5.426 ; -; addr[0] ; led_floor[2] ; 5.434 ; 5.434 ; 5.434 ; 5.434 ; -; addr[0] ; led_floor[3] ; 5.236 ; 5.236 ; 5.236 ; 5.236 ; -; addr[0] ; led_floor[4] ; 5.252 ; 5.252 ; 5.252 ; 5.252 ; -; addr[0] ; led_floor[5] ; 5.502 ; 5.502 ; 5.502 ; 5.502 ; -; addr[0] ; led_floor[6] ; 5.262 ; 5.464 ; 5.464 ; 5.262 ; -; addr[0] ; led_mod[0] ; 5.554 ; 5.554 ; 5.554 ; 5.554 ; -; addr[0] ; led_mod[1] ; 5.718 ; 5.718 ; 5.718 ; 5.718 ; -; addr[0] ; led_mod[2] ; 5.531 ; 5.531 ; 5.531 ; 5.531 ; -; addr[0] ; led_mod[3] ; 5.871 ; 5.871 ; 5.871 ; 5.871 ; -; addr[0] ; led_mod[4] ; 5.371 ; 5.371 ; 5.371 ; 5.371 ; -; addr[0] ; led_mod[5] ; 5.695 ; 5.695 ; 5.695 ; 5.695 ; -; addr[0] ; led_mod[6] ; 5.489 ; 5.489 ; 5.489 ; 5.489 ; -; addr[0] ; value[0] ; 4.943 ; 4.943 ; 4.943 ; 4.943 ; -; addr[0] ; value[1] ; 5.019 ; 5.048 ; 5.048 ; 5.019 ; -; addr[0] ; value[2] ; 5.045 ; 4.974 ; 4.974 ; 5.045 ; -; addr[0] ; value[3] ; 4.498 ; 4.498 ; 4.498 ; 4.498 ; -; addr[0] ; value[4] ; 4.580 ; 4.378 ; 4.378 ; 4.580 ; -; addr[0] ; value[5] ; 4.914 ; 5.063 ; 5.063 ; 4.914 ; -; addr[1] ; led_floor[0] ; 4.916 ; 4.916 ; 4.916 ; 4.916 ; -; addr[1] ; led_floor[1] ; 4.838 ; 4.838 ; 4.838 ; 4.838 ; -; addr[1] ; led_floor[2] ; 5.048 ; 5.048 ; 5.048 ; 5.048 ; -; addr[1] ; led_floor[3] ; 4.850 ; 4.850 ; 4.850 ; 4.850 ; -; addr[1] ; led_floor[4] ; 4.866 ; 4.866 ; 4.866 ; 4.866 ; -; addr[1] ; led_floor[5] ; 5.116 ; 5.116 ; 5.116 ; 5.116 ; -; addr[1] ; led_floor[6] ; 4.876 ; 4.876 ; 4.876 ; 4.876 ; -; addr[1] ; led_mod[0] ; 5.167 ; 5.167 ; 5.167 ; 5.167 ; -; addr[1] ; led_mod[1] ; 5.331 ; 5.331 ; 5.331 ; 5.331 ; -; addr[1] ; led_mod[2] ; 5.144 ; 5.144 ; 5.144 ; 5.144 ; -; addr[1] ; led_mod[3] ; 5.485 ; 5.485 ; 5.485 ; 5.485 ; -; addr[1] ; led_mod[4] ; 4.984 ; 4.984 ; 4.984 ; 4.984 ; -; addr[1] ; led_mod[5] ; 5.309 ; 5.309 ; 5.309 ; 5.309 ; -; addr[1] ; led_mod[6] ; 5.102 ; 5.102 ; 5.102 ; 5.102 ; -; addr[1] ; value[0] ; 4.207 ; 4.207 ; 4.207 ; 4.207 ; -; addr[1] ; value[1] ; 4.716 ; 4.716 ; 4.716 ; 4.716 ; -; addr[1] ; value[2] ; 4.552 ; 4.506 ; 4.506 ; 4.552 ; -; addr[1] ; value[3] ; 4.111 ; 4.193 ; 4.193 ; 4.111 ; -; addr[1] ; value[4] ; 3.992 ; 3.992 ; 3.992 ; 3.992 ; -; addr[1] ; value[5] ; 4.441 ; 4.441 ; 4.441 ; 4.441 ; -; addr[2] ; led_floor[0] ; 4.506 ; 4.506 ; 4.506 ; 4.506 ; -; addr[2] ; led_floor[1] ; 4.693 ; 4.428 ; 4.428 ; 4.693 ; -; addr[2] ; led_floor[2] ; 4.638 ; 4.638 ; 4.638 ; 4.638 ; -; addr[2] ; led_floor[3] ; 4.440 ; 4.440 ; 4.440 ; 4.440 ; -; addr[2] ; led_floor[4] ; 4.456 ; 4.456 ; 4.456 ; 4.456 ; -; addr[2] ; led_floor[5] ; 4.706 ; 4.706 ; 4.706 ; 4.706 ; -; addr[2] ; led_floor[6] ; 4.466 ; 4.731 ; 4.731 ; 4.466 ; -; addr[2] ; led_mod[0] ; 5.099 ; 5.099 ; 5.099 ; 5.099 ; -; addr[2] ; led_mod[1] ; 5.170 ; 5.170 ; 5.170 ; 5.170 ; -; addr[2] ; led_mod[2] ; 5.076 ; 5.076 ; 5.076 ; 5.076 ; -; addr[2] ; led_mod[3] ; 5.075 ; 5.075 ; 5.075 ; 5.075 ; -; addr[2] ; led_mod[4] ; 4.916 ; 4.916 ; 4.916 ; 4.916 ; -; addr[2] ; led_mod[5] ; 4.899 ; 4.899 ; 4.899 ; 4.899 ; -; addr[2] ; led_mod[6] ; 5.034 ; 5.034 ; 5.034 ; 5.034 ; -; addr[2] ; value[0] ; 4.183 ; 4.015 ; 4.015 ; 4.183 ; -; addr[2] ; value[1] ; 4.282 ; 4.179 ; 4.179 ; 4.282 ; -; addr[2] ; value[2] ; 4.549 ; 4.482 ; 4.482 ; 4.549 ; -; addr[2] ; value[3] ; 4.082 ; 4.043 ; 4.043 ; 4.082 ; -; addr[2] ; value[4] ; 3.847 ; 3.582 ; 3.582 ; 3.847 ; -; addr[2] ; value[5] ; 4.222 ; 4.075 ; 4.075 ; 4.222 ; -; addr[3] ; led_floor[0] ; 5.001 ; 5.001 ; 5.001 ; 5.001 ; -; addr[3] ; led_floor[1] ; 4.923 ; 4.968 ; 4.968 ; 4.923 ; -; addr[3] ; led_floor[2] ; 5.133 ; 5.133 ; 5.133 ; 5.133 ; -; addr[3] ; led_floor[3] ; 4.935 ; 4.935 ; 4.935 ; 4.935 ; -; addr[3] ; led_floor[4] ; 4.951 ; 4.951 ; 4.951 ; 4.951 ; -; addr[3] ; led_floor[5] ; 5.201 ; 5.201 ; 5.201 ; 5.201 ; -; addr[3] ; led_floor[6] ; 5.006 ; 4.961 ; 4.961 ; 5.006 ; -; addr[3] ; led_mod[0] ; 5.196 ; 5.196 ; 5.196 ; 5.196 ; -; addr[3] ; led_mod[1] ; 5.360 ; 5.360 ; 5.360 ; 5.360 ; -; addr[3] ; led_mod[2] ; 5.173 ; 5.173 ; 5.173 ; 5.173 ; -; addr[3] ; led_mod[3] ; 5.567 ; 5.567 ; 5.567 ; 5.567 ; -; addr[3] ; led_mod[4] ; 5.013 ; 5.013 ; 5.013 ; 5.013 ; -; addr[3] ; led_mod[5] ; 5.394 ; 5.394 ; 5.394 ; 5.394 ; -; addr[3] ; led_mod[6] ; 5.131 ; 5.131 ; 5.131 ; 5.131 ; -; addr[3] ; value[0] ; 4.326 ; 4.326 ; 4.326 ; 4.326 ; -; addr[3] ; value[1] ; 4.359 ; 4.429 ; 4.429 ; 4.359 ; -; addr[3] ; value[2] ; 4.561 ; 4.509 ; 4.509 ; 4.561 ; -; addr[3] ; value[3] ; 4.140 ; 4.283 ; 4.283 ; 4.140 ; -; addr[3] ; value[4] ; 4.077 ; 4.122 ; 4.122 ; 4.077 ; -; addr[3] ; value[5] ; 4.234 ; 4.325 ; 4.325 ; 4.234 ; -; addr[4] ; led_floor[0] ; 4.918 ; 4.918 ; 4.918 ; 4.918 ; -; addr[4] ; led_floor[1] ; 5.027 ; 4.840 ; 4.840 ; 5.027 ; -; addr[4] ; led_floor[2] ; 5.050 ; 5.050 ; 5.050 ; 5.050 ; -; addr[4] ; led_floor[3] ; 4.852 ; 4.852 ; 4.852 ; 4.852 ; -; addr[4] ; led_floor[4] ; 4.868 ; 4.868 ; 4.868 ; 4.868 ; -; addr[4] ; led_floor[5] ; 5.118 ; 5.118 ; 5.118 ; 5.118 ; -; addr[4] ; led_floor[6] ; 4.878 ; 5.065 ; 5.065 ; 4.878 ; -; addr[4] ; led_mod[0] ; 5.107 ; 5.107 ; 5.107 ; 5.107 ; -; addr[4] ; led_mod[1] ; 5.271 ; 5.271 ; 5.271 ; 5.271 ; -; addr[4] ; led_mod[2] ; 5.084 ; 5.084 ; 5.084 ; 5.084 ; -; addr[4] ; led_mod[3] ; 5.487 ; 5.487 ; 5.487 ; 5.487 ; -; addr[4] ; led_mod[4] ; 4.924 ; 4.924 ; 4.924 ; 4.924 ; -; addr[4] ; led_mod[5] ; 5.311 ; 5.311 ; 5.311 ; 5.311 ; -; addr[4] ; led_mod[6] ; 5.042 ; 5.042 ; 5.042 ; 5.042 ; -; addr[4] ; value[0] ; 4.464 ; 4.383 ; 4.383 ; 4.464 ; -; addr[4] ; value[1] ; 4.822 ; 4.563 ; 4.563 ; 4.822 ; -; addr[4] ; value[2] ; 4.472 ; 4.531 ; 4.531 ; 4.472 ; -; addr[4] ; value[3] ; 4.051 ; 4.070 ; 4.070 ; 4.051 ; -; addr[4] ; value[4] ; 4.181 ; 3.994 ; 3.994 ; 4.181 ; -; addr[4] ; value[5] ; 4.713 ; 4.459 ; 4.459 ; 4.713 ; -; addr[5] ; led_floor[0] ; 5.063 ; 5.063 ; 5.063 ; 5.063 ; -; addr[5] ; led_floor[1] ; 4.985 ; 4.985 ; 4.985 ; 4.985 ; -; addr[5] ; led_floor[2] ; 5.195 ; 5.195 ; 5.195 ; 5.195 ; -; addr[5] ; led_floor[3] ; 4.997 ; 4.997 ; 4.997 ; 4.997 ; -; addr[5] ; led_floor[4] ; 5.013 ; 5.013 ; 5.013 ; 5.013 ; -; addr[5] ; led_floor[5] ; 5.263 ; 5.263 ; 5.263 ; 5.263 ; -; addr[5] ; led_floor[6] ; 5.023 ; 5.023 ; 5.023 ; 5.023 ; -; addr[5] ; led_mod[0] ; 5.283 ; 5.283 ; 5.283 ; 5.283 ; -; addr[5] ; led_mod[1] ; 5.447 ; 5.447 ; 5.447 ; 5.447 ; -; addr[5] ; led_mod[2] ; 5.260 ; 5.260 ; 5.260 ; 5.260 ; -; addr[5] ; led_mod[3] ; 5.586 ; 5.586 ; 5.586 ; 5.586 ; -; addr[5] ; led_mod[4] ; 5.100 ; 5.100 ; 5.100 ; 5.100 ; -; addr[5] ; led_mod[5] ; 5.449 ; 5.449 ; 5.449 ; 5.449 ; -; addr[5] ; led_mod[6] ; 5.218 ; 5.218 ; 5.218 ; 5.218 ; -; addr[5] ; value[0] ; 4.002 ; 4.002 ; 4.002 ; 4.002 ; -; addr[5] ; value[1] ; 4.378 ; 4.378 ; 4.378 ; 4.378 ; -; addr[5] ; value[2] ; 4.476 ; 4.476 ; 4.476 ; 4.476 ; -; addr[5] ; value[3] ; 4.227 ; 4.227 ; 4.227 ; 4.227 ; -; addr[5] ; value[4] ; 4.139 ; 4.139 ; 4.139 ; 4.139 ; -; addr[5] ; value[5] ; 4.235 ; 4.235 ; 4.235 ; 4.235 ; -; mode[0] ; led_mode[0] ; 4.002 ; ; ; 4.002 ; -; mode[0] ; led_mode[2] ; ; 4.174 ; 4.174 ; ; -; mode[0] ; led_mode[3] ; 4.362 ; ; ; 4.362 ; -; mode[0] ; led_mode[4] ; 2.941 ; ; ; 2.941 ; -; mode[0] ; led_mode[5] ; 3.873 ; ; ; 3.873 ; -; mode[1] ; led_mode[0] ; ; 3.911 ; 3.911 ; ; -; mode[1] ; led_mode[2] ; 4.111 ; ; ; 4.111 ; -; mode[1] ; led_mode[3] ; ; 4.271 ; 4.271 ; ; -; mode[1] ; led_mode[5] ; 3.799 ; ; ; 3.799 ; +; addr[0] ; led_floor[0] ; 5.778 ; 5.778 ; 5.778 ; 5.778 ; +; addr[0] ; led_floor[1] ; 5.759 ; 5.759 ; 5.759 ; 5.759 ; +; addr[0] ; led_floor[2] ; 5.521 ; 5.521 ; 5.521 ; 5.521 ; +; addr[0] ; led_floor[3] ; 5.483 ; 5.483 ; 5.483 ; 5.483 ; +; addr[0] ; led_floor[4] ; 5.653 ; 5.653 ; 5.653 ; 5.653 ; +; addr[0] ; led_floor[5] ; 5.613 ; 5.613 ; 5.613 ; 5.613 ; +; addr[0] ; led_floor[6] ; 5.675 ; 5.675 ; 5.675 ; 5.675 ; +; addr[0] ; led_mod[0] ; 5.420 ; 5.420 ; 5.420 ; 5.420 ; +; addr[0] ; led_mod[1] ; 6.056 ; 6.056 ; 6.056 ; 6.056 ; +; addr[0] ; led_mod[2] ; 5.460 ; 5.460 ; 5.460 ; 5.460 ; +; addr[0] ; led_mod[3] ; 5.727 ; 5.727 ; 5.727 ; 5.727 ; +; addr[0] ; led_mod[4] ; 5.440 ; 5.440 ; 5.440 ; 5.440 ; +; addr[0] ; led_mod[5] ; 5.583 ; 5.583 ; 5.583 ; 5.583 ; +; addr[0] ; led_mod[6] ; 5.568 ; 5.568 ; 5.568 ; 5.568 ; +; addr[0] ; value[0] ; 4.733 ; 4.733 ; 4.733 ; 4.733 ; +; addr[0] ; value[1] ; 4.915 ; 4.915 ; 4.915 ; 4.915 ; +; addr[0] ; value[2] ; 4.914 ; 4.778 ; 4.778 ; 4.914 ; +; addr[0] ; value[3] ; 4.741 ; 4.741 ; 4.741 ; 4.741 ; +; addr[0] ; value[4] ; 5.082 ; 5.011 ; 5.011 ; 5.082 ; +; addr[0] ; value[5] ; 4.850 ; 4.850 ; 4.850 ; 4.850 ; +; addr[1] ; led_floor[0] ; 5.619 ; 5.619 ; 5.619 ; 5.619 ; +; addr[1] ; led_floor[1] ; 5.609 ; 5.718 ; 5.718 ; 5.609 ; +; addr[1] ; led_floor[2] ; 5.362 ; 5.362 ; 5.362 ; 5.362 ; +; addr[1] ; led_floor[3] ; 5.485 ; 5.485 ; 5.485 ; 5.485 ; +; addr[1] ; led_floor[4] ; 5.655 ; 5.655 ; 5.655 ; 5.655 ; +; addr[1] ; led_floor[5] ; 5.615 ; 5.615 ; 5.615 ; 5.615 ; +; addr[1] ; led_floor[6] ; 5.677 ; 5.677 ; 5.677 ; 5.677 ; +; addr[1] ; led_mod[0] ; 5.182 ; 5.182 ; 5.182 ; 5.182 ; +; addr[1] ; led_mod[1] ; 5.818 ; 5.818 ; 5.818 ; 5.818 ; +; addr[1] ; led_mod[2] ; 5.222 ; 5.222 ; 5.222 ; 5.222 ; +; addr[1] ; led_mod[3] ; 5.550 ; 5.550 ; 5.550 ; 5.550 ; +; addr[1] ; led_mod[4] ; 5.202 ; 5.202 ; 5.202 ; 5.202 ; +; addr[1] ; led_mod[5] ; 5.404 ; 5.404 ; 5.404 ; 5.404 ; +; addr[1] ; led_mod[6] ; 5.330 ; 5.330 ; 5.330 ; 5.330 ; +; addr[1] ; value[0] ; 4.641 ; 4.641 ; 4.641 ; 4.641 ; +; addr[1] ; value[1] ; 4.841 ; 4.841 ; 4.841 ; 4.841 ; +; addr[1] ; value[2] ; 4.925 ; 4.950 ; 4.950 ; 4.925 ; +; addr[1] ; value[3] ; 4.503 ; 4.844 ; 4.844 ; 4.503 ; +; addr[1] ; value[4] ; 4.699 ; 4.699 ; 4.699 ; 4.699 ; +; addr[1] ; value[5] ; 4.852 ; 4.852 ; 4.852 ; 4.852 ; +; addr[2] ; led_floor[0] ; 5.248 ; 5.248 ; 5.248 ; 5.248 ; +; addr[2] ; led_floor[1] ; 5.338 ; 5.238 ; 5.238 ; 5.338 ; +; addr[2] ; led_floor[2] ; 4.991 ; 4.991 ; 4.991 ; 4.991 ; +; addr[2] ; led_floor[3] ; 5.047 ; 5.047 ; 5.047 ; 5.047 ; +; addr[2] ; led_floor[4] ; 5.298 ; 5.217 ; 5.217 ; 5.298 ; +; addr[2] ; led_floor[5] ; 5.177 ; 5.177 ; 5.177 ; 5.177 ; +; addr[2] ; led_floor[6] ; 5.239 ; 5.420 ; 5.420 ; 5.239 ; +; addr[2] ; led_mod[0] ; 5.070 ; 5.070 ; 5.070 ; 5.070 ; +; addr[2] ; led_mod[1] ; 5.660 ; 5.660 ; 5.660 ; 5.660 ; +; addr[2] ; led_mod[2] ; 5.129 ; 5.129 ; 5.129 ; 5.129 ; +; addr[2] ; led_mod[3] ; 5.381 ; 5.381 ; 5.381 ; 5.381 ; +; addr[2] ; led_mod[4] ; 5.090 ; 5.090 ; 5.090 ; 5.090 ; +; addr[2] ; led_mod[5] ; 5.246 ; 5.246 ; 5.246 ; 5.246 ; +; addr[2] ; led_mod[6] ; 5.219 ; 5.219 ; 5.219 ; 5.219 ; +; addr[2] ; value[0] ; 4.401 ; 4.399 ; 4.399 ; 4.401 ; +; addr[2] ; value[1] ; 4.497 ; 4.491 ; 4.491 ; 4.497 ; +; addr[2] ; value[2] ; 4.298 ; 4.198 ; 4.198 ; 4.298 ; +; addr[2] ; value[3] ; 4.502 ; 4.410 ; 4.410 ; 4.502 ; +; addr[2] ; value[4] ; 4.688 ; 4.522 ; 4.522 ; 4.688 ; +; addr[2] ; value[5] ; 4.632 ; 4.414 ; 4.414 ; 4.632 ; +; addr[3] ; led_floor[0] ; 4.787 ; 4.787 ; 4.787 ; 4.787 ; +; addr[3] ; led_floor[1] ; 4.886 ; 4.768 ; 4.768 ; 4.886 ; +; addr[3] ; led_floor[2] ; 4.530 ; 4.530 ; 4.530 ; 4.530 ; +; addr[3] ; led_floor[3] ; 4.492 ; 4.492 ; 4.492 ; 4.492 ; +; addr[3] ; led_floor[4] ; 4.780 ; 4.662 ; 4.662 ; 4.780 ; +; addr[3] ; led_floor[5] ; 4.622 ; 4.622 ; 4.622 ; 4.622 ; +; addr[3] ; led_floor[6] ; 4.684 ; 4.802 ; 4.802 ; 4.684 ; +; addr[3] ; led_mod[0] ; 4.658 ; 4.658 ; 4.658 ; 4.658 ; +; addr[3] ; led_mod[1] ; 5.294 ; 5.294 ; 5.294 ; 5.294 ; +; addr[3] ; led_mod[2] ; 4.698 ; 4.698 ; 4.698 ; 4.698 ; +; addr[3] ; led_mod[3] ; 5.026 ; 5.026 ; 5.026 ; 5.026 ; +; addr[3] ; led_mod[4] ; 4.678 ; 4.678 ; 4.678 ; 4.678 ; +; addr[3] ; led_mod[5] ; 4.880 ; 4.880 ; 4.880 ; 4.880 ; +; addr[3] ; led_mod[6] ; 4.806 ; 4.806 ; 4.806 ; 4.806 ; +; addr[3] ; value[0] ; 4.147 ; 4.299 ; 4.299 ; 4.147 ; +; addr[3] ; value[1] ; 4.135 ; 4.055 ; 4.055 ; 4.135 ; +; addr[3] ; value[2] ; 4.053 ; 3.968 ; 3.968 ; 4.053 ; +; addr[3] ; value[3] ; 3.979 ; 4.105 ; 4.105 ; 3.979 ; +; addr[3] ; value[4] ; 3.912 ; 3.966 ; 3.966 ; 3.912 ; +; addr[3] ; value[5] ; 3.977 ; 3.859 ; 3.859 ; 3.977 ; +; addr[4] ; led_floor[0] ; 5.293 ; 5.293 ; 5.293 ; 5.293 ; +; addr[4] ; led_floor[1] ; 5.274 ; 5.332 ; 5.332 ; 5.274 ; +; addr[4] ; led_floor[2] ; 5.036 ; 5.036 ; 5.036 ; 5.036 ; +; addr[4] ; led_floor[3] ; 4.998 ; 4.998 ; 4.998 ; 4.998 ; +; addr[4] ; led_floor[4] ; 5.168 ; 5.226 ; 5.226 ; 5.168 ; +; addr[4] ; led_floor[5] ; 5.128 ; 5.128 ; 5.128 ; 5.128 ; +; addr[4] ; led_floor[6] ; 5.248 ; 5.190 ; 5.190 ; 5.248 ; +; addr[4] ; led_mod[0] ; 5.111 ; 5.111 ; 5.111 ; 5.111 ; +; addr[4] ; led_mod[1] ; 5.747 ; 5.747 ; 5.747 ; 5.747 ; +; addr[4] ; led_mod[2] ; 5.151 ; 5.151 ; 5.151 ; 5.151 ; +; addr[4] ; led_mod[3] ; 5.334 ; 5.334 ; 5.334 ; 5.334 ; +; addr[4] ; led_mod[4] ; 5.131 ; 5.131 ; 5.131 ; 5.131 ; +; addr[4] ; led_mod[5] ; 5.190 ; 5.190 ; 5.190 ; 5.190 ; +; addr[4] ; led_mod[6] ; 5.259 ; 5.259 ; 5.259 ; 5.259 ; +; addr[4] ; value[0] ; 4.340 ; 4.624 ; 4.624 ; 4.340 ; +; addr[4] ; value[1] ; 4.615 ; 4.599 ; 4.599 ; 4.615 ; +; addr[4] ; value[2] ; 4.342 ; 4.459 ; 4.459 ; 4.342 ; +; addr[4] ; value[3] ; 4.496 ; 4.432 ; 4.432 ; 4.496 ; +; addr[4] ; value[4] ; 4.419 ; 4.483 ; 4.483 ; 4.419 ; +; addr[4] ; value[5] ; 4.365 ; 4.423 ; 4.423 ; 4.365 ; +; addr[5] ; led_floor[0] ; 5.057 ; 5.057 ; 5.057 ; 5.057 ; +; addr[5] ; led_floor[1] ; 5.038 ; 5.038 ; 5.038 ; 5.038 ; +; addr[5] ; led_floor[2] ; 4.800 ; 4.800 ; 4.800 ; 4.800 ; +; addr[5] ; led_floor[3] ; 4.762 ; 4.762 ; 4.762 ; 4.762 ; +; addr[5] ; led_floor[4] ; 4.932 ; 4.932 ; 4.932 ; 4.932 ; +; addr[5] ; led_floor[5] ; 4.892 ; 4.892 ; 4.892 ; 4.892 ; +; addr[5] ; led_floor[6] ; 4.954 ; 4.954 ; 4.954 ; 4.954 ; +; addr[5] ; led_mod[0] ; 4.928 ; 4.928 ; 4.928 ; 4.928 ; +; addr[5] ; led_mod[1] ; 5.564 ; 5.564 ; 5.564 ; 5.564 ; +; addr[5] ; led_mod[2] ; 4.968 ; 4.968 ; 4.968 ; 4.968 ; +; addr[5] ; led_mod[3] ; 5.227 ; 5.227 ; 5.227 ; 5.227 ; +; addr[5] ; led_mod[4] ; 4.948 ; 4.948 ; 4.948 ; 4.948 ; +; addr[5] ; led_mod[5] ; 5.083 ; 5.083 ; 5.083 ; 5.083 ; +; addr[5] ; led_mod[6] ; 5.076 ; 5.076 ; 5.076 ; 5.076 ; +; addr[5] ; value[0] ; 4.233 ; 4.233 ; 4.233 ; 4.233 ; +; addr[5] ; value[1] ; 4.179 ; 4.179 ; 4.179 ; 4.179 ; +; addr[5] ; value[2] ; 4.311 ; 4.311 ; 4.311 ; 4.311 ; +; addr[5] ; value[3] ; 4.272 ; 4.249 ; 4.249 ; 4.272 ; +; addr[5] ; value[4] ; 4.493 ; 4.146 ; 4.146 ; 4.493 ; +; addr[5] ; value[5] ; 4.129 ; 4.129 ; 4.129 ; 4.129 ; +; mode[0] ; led_mode[0] ; 4.093 ; ; ; 4.093 ; +; mode[0] ; led_mode[2] ; ; 4.252 ; 4.252 ; ; +; mode[0] ; led_mode[3] ; 3.801 ; ; ; 3.801 ; +; mode[0] ; led_mode[4] ; 2.926 ; ; ; 2.926 ; +; mode[0] ; led_mode[5] ; 3.962 ; ; ; 3.962 ; +; mode[1] ; led_mode[0] ; ; 4.433 ; 4.433 ; ; +; mode[1] ; led_mode[2] ; 4.619 ; ; ; 4.619 ; +; mode[1] ; led_mode[3] ; ; 4.141 ; 4.141 ; ; +; mode[1] ; led_mode[5] ; 4.301 ; ; ; 4.301 ; ; mode[1] ; led_mode[6] ; ; 2.667 ; 2.667 ; ; -; mode_segment ; led_floor[0] ; 3.492 ; 3.492 ; 3.492 ; 3.492 ; -; mode_segment ; led_floor[1] ; 3.418 ; ; ; 3.418 ; -; mode_segment ; led_floor[2] ; 3.619 ; 3.619 ; 3.619 ; 3.619 ; -; mode_segment ; led_floor[3] ; 3.407 ; 3.407 ; 3.407 ; 3.407 ; -; mode_segment ; led_floor[4] ; 3.424 ; 3.424 ; 3.424 ; 3.424 ; -; mode_segment ; led_floor[5] ; ; 3.691 ; 3.691 ; ; -; mode_segment ; led_floor[6] ; ; 3.430 ; 3.430 ; ; -; mode_segment ; led_mod[0] ; 3.854 ; 3.854 ; 3.854 ; 3.854 ; -; mode_segment ; led_mod[1] ; 4.049 ; 4.049 ; 4.049 ; 4.049 ; -; mode_segment ; led_mod[2] ; 3.956 ; 3.956 ; 3.956 ; 3.956 ; -; mode_segment ; led_mod[3] ; 3.916 ; 3.916 ; 3.916 ; 3.916 ; -; mode_segment ; led_mod[4] ; 3.797 ; 3.797 ; 3.797 ; 3.797 ; -; mode_segment ; led_mod[5] ; 3.615 ; 3.906 ; 3.906 ; 3.615 ; -; mode_segment ; led_mod[6] ; 3.909 ; 3.909 ; 3.909 ; 3.909 ; +; mode_segment ; led_floor[0] ; 4.123 ; 4.123 ; 4.123 ; 4.123 ; +; mode_segment ; led_floor[1] ; 4.101 ; ; ; 4.101 ; +; mode_segment ; led_floor[2] ; 3.868 ; 3.868 ; 3.868 ; 3.868 ; +; mode_segment ; led_floor[3] ; 3.791 ; 3.791 ; 3.791 ; 3.791 ; +; mode_segment ; led_floor[4] ; 3.961 ; 3.961 ; 3.961 ; 3.961 ; +; mode_segment ; led_floor[5] ; ; 3.920 ; 3.920 ; ; +; mode_segment ; led_floor[6] ; ; 3.983 ; 3.983 ; ; +; mode_segment ; led_mod[0] ; 4.442 ; 4.450 ; 4.450 ; 4.442 ; +; mode_segment ; led_mod[1] ; 4.543 ; 4.543 ; 4.543 ; 4.543 ; +; mode_segment ; led_mod[2] ; 4.491 ; 4.491 ; 4.491 ; 4.491 ; +; mode_segment ; led_mod[3] ; 4.061 ; 4.061 ; 4.061 ; 4.061 ; +; mode_segment ; led_mod[4] ; 4.454 ; 4.454 ; 4.454 ; 4.454 ; +; mode_segment ; led_mod[5] ; 3.922 ; 4.083 ; 4.083 ; 3.922 ; +; mode_segment ; led_mod[6] ; 4.580 ; 4.580 ; 4.580 ; 4.580 ; +--------------+--------------+-------+-------+-------+-------+ @@ -811,150 +811,150 @@ No paths to report. +--------------+--------------+--------+--------+--------+--------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +--------------+--------------+--------+--------+--------+--------+ -; addr[0] ; led_floor[0] ; 18.887 ; 18.887 ; 18.887 ; 18.887 ; -; addr[0] ; led_floor[1] ; 19.345 ; 19.345 ; 19.345 ; 19.345 ; -; addr[0] ; led_floor[2] ; 19.258 ; 19.258 ; 19.258 ; 19.258 ; -; addr[0] ; led_floor[3] ; 19.393 ; 19.393 ; 19.393 ; 19.393 ; -; addr[0] ; led_floor[4] ; 19.417 ; 19.417 ; 19.417 ; 19.417 ; -; addr[0] ; led_floor[5] ; 19.338 ; 19.338 ; 19.338 ; 19.338 ; -; addr[0] ; led_floor[6] ; 19.401 ; 19.401 ; 19.401 ; 19.401 ; -; addr[0] ; led_mod[0] ; 22.111 ; 22.111 ; 22.111 ; 22.111 ; -; addr[0] ; led_mod[1] ; 23.599 ; 23.599 ; 23.599 ; 23.599 ; -; addr[0] ; led_mod[2] ; 22.015 ; 22.015 ; 22.015 ; 22.015 ; -; addr[0] ; led_mod[3] ; 23.788 ; 23.788 ; 23.788 ; 23.788 ; -; addr[0] ; led_mod[4] ; 21.566 ; 21.566 ; 21.566 ; 21.566 ; -; addr[0] ; led_mod[5] ; 22.387 ; 22.387 ; 22.387 ; 22.387 ; -; addr[0] ; led_mod[6] ; 21.915 ; 21.915 ; 21.915 ; 21.915 ; -; addr[0] ; value[0] ; 14.073 ; 14.073 ; 14.073 ; 14.073 ; -; addr[0] ; value[1] ; 14.610 ; 14.610 ; 14.610 ; 14.610 ; -; addr[0] ; value[2] ; 14.687 ; 14.687 ; 14.687 ; 14.687 ; -; addr[0] ; value[3] ; 13.932 ; 13.932 ; 13.932 ; 13.932 ; -; addr[0] ; value[4] ; 14.187 ; 14.187 ; 14.187 ; 14.187 ; -; addr[0] ; value[5] ; 14.514 ; 14.514 ; 14.514 ; 14.514 ; -; addr[1] ; led_floor[0] ; 18.413 ; 18.413 ; 18.413 ; 18.413 ; -; addr[1] ; led_floor[1] ; 18.871 ; 18.871 ; 18.871 ; 18.871 ; -; addr[1] ; led_floor[2] ; 18.784 ; 18.784 ; 18.784 ; 18.784 ; -; addr[1] ; led_floor[3] ; 18.919 ; 18.919 ; 18.919 ; 18.919 ; -; addr[1] ; led_floor[4] ; 18.943 ; 18.943 ; 18.943 ; 18.943 ; -; addr[1] ; led_floor[5] ; 18.864 ; 18.864 ; 18.864 ; 18.864 ; -; addr[1] ; led_floor[6] ; 18.927 ; 18.927 ; 18.927 ; 18.927 ; -; addr[1] ; led_mod[0] ; 21.637 ; 21.637 ; 21.637 ; 21.637 ; -; addr[1] ; led_mod[1] ; 23.125 ; 23.125 ; 23.125 ; 23.125 ; -; addr[1] ; led_mod[2] ; 21.541 ; 21.541 ; 21.541 ; 21.541 ; -; addr[1] ; led_mod[3] ; 23.314 ; 23.314 ; 23.314 ; 23.314 ; -; addr[1] ; led_mod[4] ; 21.092 ; 21.092 ; 21.092 ; 21.092 ; -; addr[1] ; led_mod[5] ; 21.913 ; 21.913 ; 21.913 ; 21.913 ; -; addr[1] ; led_mod[6] ; 21.441 ; 21.441 ; 21.441 ; 21.441 ; -; addr[1] ; value[0] ; 13.599 ; 13.599 ; 13.599 ; 13.599 ; -; addr[1] ; value[1] ; 13.359 ; 13.359 ; 13.359 ; 13.359 ; -; addr[1] ; value[2] ; 13.678 ; 13.678 ; 13.678 ; 13.678 ; -; addr[1] ; value[3] ; 13.499 ; 13.499 ; 13.499 ; 13.499 ; -; addr[1] ; value[4] ; 12.927 ; 12.927 ; 12.927 ; 12.927 ; -; addr[1] ; value[5] ; 13.696 ; 13.696 ; 13.696 ; 13.696 ; -; addr[2] ; led_floor[0] ; 17.820 ; 17.820 ; 17.820 ; 17.820 ; -; addr[2] ; led_floor[1] ; 18.278 ; 17.079 ; 17.079 ; 18.278 ; -; addr[2] ; led_floor[2] ; 18.191 ; 18.191 ; 18.191 ; 18.191 ; -; addr[2] ; led_floor[3] ; 18.326 ; 18.326 ; 18.326 ; 18.326 ; -; addr[2] ; led_floor[4] ; 18.350 ; 18.350 ; 18.350 ; 18.350 ; -; addr[2] ; led_floor[5] ; 18.271 ; 18.271 ; 18.271 ; 18.271 ; -; addr[2] ; led_floor[6] ; 17.135 ; 18.334 ; 18.334 ; 17.135 ; -; addr[2] ; led_mod[0] ; 21.044 ; 21.044 ; 21.044 ; 21.044 ; -; addr[2] ; led_mod[1] ; 22.532 ; 22.532 ; 22.532 ; 22.532 ; -; addr[2] ; led_mod[2] ; 20.948 ; 20.948 ; 20.948 ; 20.948 ; -; addr[2] ; led_mod[3] ; 22.721 ; 22.721 ; 22.721 ; 22.721 ; -; addr[2] ; led_mod[4] ; 20.499 ; 20.499 ; 20.499 ; 20.499 ; -; addr[2] ; led_mod[5] ; 21.320 ; 21.320 ; 21.320 ; 21.320 ; -; addr[2] ; led_mod[6] ; 20.848 ; 20.848 ; 20.848 ; 20.848 ; -; addr[2] ; value[0] ; 13.006 ; 11.807 ; 11.807 ; 13.006 ; -; addr[2] ; value[1] ; 11.991 ; 11.991 ; 11.991 ; 11.991 ; -; addr[2] ; value[2] ; 13.192 ; 13.030 ; 13.030 ; 13.192 ; -; addr[2] ; value[3] ; 11.535 ; 11.535 ; 11.535 ; 11.535 ; -; addr[2] ; value[4] ; 11.444 ; 11.444 ; 11.444 ; 11.444 ; -; addr[2] ; value[5] ; 11.117 ; 11.117 ; 11.117 ; 11.117 ; -; addr[3] ; led_floor[0] ; 16.281 ; 16.281 ; 16.281 ; 16.281 ; -; addr[3] ; led_floor[1] ; 16.671 ; 16.739 ; 16.739 ; 16.671 ; -; addr[3] ; led_floor[2] ; 16.652 ; 16.652 ; 16.652 ; 16.652 ; -; addr[3] ; led_floor[3] ; 16.787 ; 16.787 ; 16.787 ; 16.787 ; -; addr[3] ; led_floor[4] ; 16.811 ; 16.811 ; 16.811 ; 16.811 ; -; addr[3] ; led_floor[5] ; 16.732 ; 16.732 ; 16.732 ; 16.732 ; -; addr[3] ; led_floor[6] ; 16.795 ; 16.727 ; 16.727 ; 16.795 ; -; addr[3] ; led_mod[0] ; 19.505 ; 19.505 ; 19.505 ; 19.505 ; -; addr[3] ; led_mod[1] ; 20.993 ; 20.993 ; 20.993 ; 20.993 ; -; addr[3] ; led_mod[2] ; 19.409 ; 19.409 ; 19.409 ; 19.409 ; -; addr[3] ; led_mod[3] ; 21.182 ; 21.182 ; 21.182 ; 21.182 ; -; addr[3] ; led_mod[4] ; 18.960 ; 18.960 ; 18.960 ; 18.960 ; -; addr[3] ; led_mod[5] ; 19.781 ; 19.781 ; 19.781 ; 19.781 ; -; addr[3] ; led_mod[6] ; 19.309 ; 19.309 ; 19.309 ; 19.309 ; -; addr[3] ; value[0] ; 10.998 ; 11.467 ; 11.467 ; 10.998 ; -; addr[3] ; value[1] ; 11.997 ; 12.041 ; 12.041 ; 11.997 ; -; addr[3] ; value[2] ; 11.728 ; 12.049 ; 12.049 ; 11.728 ; -; addr[3] ; value[3] ; 10.909 ; 10.909 ; 10.909 ; 10.909 ; -; addr[3] ; value[4] ; 11.482 ; 11.482 ; 11.482 ; 11.482 ; -; addr[3] ; value[5] ; 11.445 ; 11.867 ; 11.867 ; 11.445 ; -; addr[4] ; led_floor[0] ; 17.149 ; 17.149 ; 17.149 ; 17.149 ; -; addr[4] ; led_floor[1] ; 15.839 ; 17.607 ; 17.607 ; 15.839 ; -; addr[4] ; led_floor[2] ; 17.520 ; 17.520 ; 17.520 ; 17.520 ; -; addr[4] ; led_floor[3] ; 17.655 ; 17.655 ; 17.655 ; 17.655 ; -; addr[4] ; led_floor[4] ; 17.679 ; 17.679 ; 17.679 ; 17.679 ; -; addr[4] ; led_floor[5] ; 17.600 ; 17.600 ; 17.600 ; 17.600 ; -; addr[4] ; led_floor[6] ; 17.663 ; 15.895 ; 15.895 ; 17.663 ; -; addr[4] ; led_mod[0] ; 20.373 ; 20.373 ; 20.373 ; 20.373 ; -; addr[4] ; led_mod[1] ; 21.861 ; 21.861 ; 21.861 ; 21.861 ; -; addr[4] ; led_mod[2] ; 20.277 ; 20.277 ; 20.277 ; 20.277 ; -; addr[4] ; led_mod[3] ; 22.050 ; 22.050 ; 22.050 ; 22.050 ; -; addr[4] ; led_mod[4] ; 19.828 ; 19.828 ; 19.828 ; 19.828 ; -; addr[4] ; led_mod[5] ; 20.649 ; 20.649 ; 20.649 ; 20.649 ; -; addr[4] ; led_mod[6] ; 20.177 ; 20.177 ; 20.177 ; 20.177 ; -; addr[4] ; value[0] ; 10.267 ; 12.335 ; 12.335 ; 10.267 ; -; addr[4] ; value[1] ; 11.030 ; 11.080 ; 11.080 ; 11.030 ; -; addr[4] ; value[2] ; 11.371 ; 12.935 ; 12.935 ; 11.371 ; -; addr[4] ; value[3] ; 10.651 ; 10.651 ; 10.651 ; 10.651 ; -; addr[4] ; value[4] ; 11.228 ; 11.228 ; 11.228 ; 11.228 ; -; addr[4] ; value[5] ; 10.863 ; 10.863 ; 10.863 ; 10.863 ; -; addr[5] ; led_floor[0] ; 16.381 ; 16.381 ; 16.381 ; 16.381 ; -; addr[5] ; led_floor[1] ; 15.166 ; 16.839 ; 16.839 ; 15.166 ; -; addr[5] ; led_floor[2] ; 16.752 ; 16.752 ; 16.752 ; 16.752 ; -; addr[5] ; led_floor[3] ; 16.887 ; 16.887 ; 16.887 ; 16.887 ; -; addr[5] ; led_floor[4] ; 16.911 ; 16.911 ; 16.911 ; 16.911 ; -; addr[5] ; led_floor[5] ; 16.832 ; 16.832 ; 16.832 ; 16.832 ; -; addr[5] ; led_floor[6] ; 16.895 ; 15.222 ; 15.222 ; 16.895 ; -; addr[5] ; led_mod[0] ; 19.605 ; 19.605 ; 19.605 ; 19.605 ; -; addr[5] ; led_mod[1] ; 21.093 ; 21.093 ; 21.093 ; 21.093 ; -; addr[5] ; led_mod[2] ; 19.509 ; 19.509 ; 19.509 ; 19.509 ; -; addr[5] ; led_mod[3] ; 21.282 ; 21.282 ; 21.282 ; 21.282 ; -; addr[5] ; led_mod[4] ; 19.060 ; 19.060 ; 19.060 ; 19.060 ; -; addr[5] ; led_mod[5] ; 19.881 ; 19.881 ; 19.881 ; 19.881 ; -; addr[5] ; led_mod[6] ; 19.409 ; 19.409 ; 19.409 ; 19.409 ; -; addr[5] ; value[0] ; 9.596 ; 11.567 ; 11.567 ; 9.596 ; -; addr[5] ; value[1] ; 10.386 ; 10.386 ; 10.386 ; 10.386 ; -; addr[5] ; value[2] ; 10.595 ; 12.167 ; 12.167 ; 10.595 ; -; addr[5] ; value[3] ; 10.216 ; 10.216 ; 10.216 ; 10.216 ; -; addr[5] ; value[4] ; 10.555 ; 10.555 ; 10.555 ; 10.555 ; -; addr[5] ; value[5] ; 9.769 ; 9.769 ; 9.769 ; 9.769 ; -; mode[0] ; led_mode[0] ; 8.946 ; ; ; 8.946 ; -; mode[0] ; led_mode[2] ; ; 9.196 ; 9.196 ; ; -; mode[0] ; led_mode[3] ; 9.883 ; ; ; 9.883 ; -; mode[0] ; led_mode[4] ; 6.077 ; ; ; 6.077 ; -; mode[0] ; led_mode[5] ; 8.625 ; ; ; 8.625 ; -; mode[1] ; led_mode[0] ; ; 8.726 ; 8.726 ; ; -; mode[1] ; led_mode[2] ; 9.034 ; ; ; 9.034 ; -; mode[1] ; led_mode[3] ; ; 9.663 ; 9.663 ; ; -; mode[1] ; led_mode[5] ; 8.371 ; ; ; 8.371 ; +; addr[0] ; led_floor[0] ; 19.293 ; 19.293 ; 19.293 ; 19.293 ; +; addr[0] ; led_floor[1] ; 19.263 ; 19.263 ; 19.263 ; 19.263 ; +; addr[0] ; led_floor[2] ; 18.500 ; 18.500 ; 18.500 ; 18.500 ; +; addr[0] ; led_floor[3] ; 18.857 ; 18.857 ; 18.857 ; 18.857 ; +; addr[0] ; led_floor[4] ; 19.366 ; 19.366 ; 19.366 ; 19.366 ; +; addr[0] ; led_floor[5] ; 19.158 ; 19.158 ; 19.158 ; 19.158 ; +; addr[0] ; led_floor[6] ; 19.391 ; 19.391 ; 19.391 ; 19.391 ; +; addr[0] ; led_mod[0] ; 22.061 ; 22.061 ; 22.061 ; 22.061 ; +; addr[0] ; led_mod[1] ; 23.356 ; 23.356 ; 23.356 ; 23.356 ; +; addr[0] ; led_mod[2] ; 22.152 ; 22.152 ; 22.152 ; 22.152 ; +; addr[0] ; led_mod[3] ; 22.447 ; 22.447 ; 22.447 ; 22.447 ; +; addr[0] ; led_mod[4] ; 22.079 ; 22.079 ; 22.079 ; 22.079 ; +; addr[0] ; led_mod[5] ; 21.717 ; 21.717 ; 21.717 ; 21.717 ; +; addr[0] ; led_mod[6] ; 22.460 ; 22.460 ; 22.460 ; 22.460 ; +; addr[0] ; value[0] ; 14.817 ; 14.817 ; 14.817 ; 14.817 ; +; addr[0] ; value[1] ; 14.600 ; 14.600 ; 14.600 ; 14.600 ; +; addr[0] ; value[2] ; 15.721 ; 15.721 ; 15.721 ; 15.721 ; +; addr[0] ; value[3] ; 15.357 ; 15.357 ; 15.357 ; 15.357 ; +; addr[0] ; value[4] ; 15.099 ; 15.099 ; 15.099 ; 15.099 ; +; addr[0] ; value[5] ; 15.178 ; 14.879 ; 14.879 ; 15.178 ; +; addr[1] ; led_floor[0] ; 17.864 ; 17.864 ; 17.864 ; 17.864 ; +; addr[1] ; led_floor[1] ; 17.834 ; 17.834 ; 17.834 ; 17.834 ; +; addr[1] ; led_floor[2] ; 17.071 ; 17.071 ; 17.071 ; 17.071 ; +; addr[1] ; led_floor[3] ; 17.428 ; 17.428 ; 17.428 ; 17.428 ; +; addr[1] ; led_floor[4] ; 17.937 ; 17.937 ; 17.937 ; 17.937 ; +; addr[1] ; led_floor[5] ; 17.729 ; 17.729 ; 17.729 ; 17.729 ; +; addr[1] ; led_floor[6] ; 17.962 ; 17.962 ; 17.962 ; 17.962 ; +; addr[1] ; led_mod[0] ; 20.632 ; 20.632 ; 20.632 ; 20.632 ; +; addr[1] ; led_mod[1] ; 21.927 ; 21.927 ; 21.927 ; 21.927 ; +; addr[1] ; led_mod[2] ; 20.723 ; 20.723 ; 20.723 ; 20.723 ; +; addr[1] ; led_mod[3] ; 21.018 ; 21.018 ; 21.018 ; 21.018 ; +; addr[1] ; led_mod[4] ; 20.650 ; 20.650 ; 20.650 ; 20.650 ; +; addr[1] ; led_mod[5] ; 20.288 ; 20.288 ; 20.288 ; 20.288 ; +; addr[1] ; led_mod[6] ; 21.031 ; 21.031 ; 21.031 ; 21.031 ; +; addr[1] ; value[0] ; 13.041 ; 13.041 ; 13.041 ; 13.041 ; +; addr[1] ; value[1] ; 13.189 ; 13.189 ; 13.189 ; 13.189 ; +; addr[1] ; value[2] ; 15.005 ; 15.005 ; 15.005 ; 15.005 ; +; addr[1] ; value[3] ; 14.146 ; 14.146 ; 14.146 ; 14.146 ; +; addr[1] ; value[4] ; 13.416 ; 13.416 ; 13.416 ; 13.416 ; +; addr[1] ; value[5] ; 13.716 ; 13.716 ; 13.716 ; 13.716 ; +; addr[2] ; led_floor[0] ; 17.058 ; 17.058 ; 17.058 ; 17.058 ; +; addr[2] ; led_floor[1] ; 17.028 ; 16.842 ; 16.842 ; 17.028 ; +; addr[2] ; led_floor[2] ; 16.265 ; 16.265 ; 16.265 ; 16.265 ; +; addr[2] ; led_floor[3] ; 16.622 ; 16.622 ; 16.622 ; 16.622 ; +; addr[2] ; led_floor[4] ; 17.131 ; 17.131 ; 17.131 ; 17.131 ; +; addr[2] ; led_floor[5] ; 16.923 ; 16.923 ; 16.923 ; 16.923 ; +; addr[2] ; led_floor[6] ; 16.970 ; 17.156 ; 17.156 ; 16.970 ; +; addr[2] ; led_mod[0] ; 19.826 ; 19.826 ; 19.826 ; 19.826 ; +; addr[2] ; led_mod[1] ; 21.121 ; 21.121 ; 21.121 ; 21.121 ; +; addr[2] ; led_mod[2] ; 19.917 ; 19.917 ; 19.917 ; 19.917 ; +; addr[2] ; led_mod[3] ; 20.212 ; 20.212 ; 20.212 ; 20.212 ; +; addr[2] ; led_mod[4] ; 19.844 ; 19.844 ; 19.844 ; 19.844 ; +; addr[2] ; led_mod[5] ; 19.482 ; 19.482 ; 19.482 ; 19.482 ; +; addr[2] ; led_mod[6] ; 20.225 ; 20.225 ; 20.225 ; 20.225 ; +; addr[2] ; value[0] ; 11.418 ; 11.418 ; 11.418 ; 11.418 ; +; addr[2] ; value[1] ; 12.918 ; 11.036 ; 11.036 ; 12.918 ; +; addr[2] ; value[2] ; 12.099 ; 12.304 ; 12.304 ; 12.099 ; +; addr[2] ; value[3] ; 11.955 ; 11.955 ; 11.955 ; 11.955 ; +; addr[2] ; value[4] ; 12.236 ; 11.718 ; 11.718 ; 12.236 ; +; addr[2] ; value[5] ; 12.300 ; 11.298 ; 11.298 ; 12.300 ; +; addr[3] ; led_floor[0] ; 16.068 ; 16.068 ; 16.068 ; 16.068 ; +; addr[3] ; led_floor[1] ; 16.038 ; 16.038 ; 16.038 ; 16.038 ; +; addr[3] ; led_floor[2] ; 15.275 ; 15.275 ; 15.275 ; 15.275 ; +; addr[3] ; led_floor[3] ; 15.632 ; 15.632 ; 15.632 ; 15.632 ; +; addr[3] ; led_floor[4] ; 16.141 ; 16.141 ; 16.141 ; 16.141 ; +; addr[3] ; led_floor[5] ; 15.933 ; 15.933 ; 15.933 ; 15.933 ; +; addr[3] ; led_floor[6] ; 16.166 ; 16.166 ; 16.166 ; 16.166 ; +; addr[3] ; led_mod[0] ; 18.836 ; 18.836 ; 18.836 ; 18.836 ; +; addr[3] ; led_mod[1] ; 20.131 ; 20.131 ; 20.131 ; 20.131 ; +; addr[3] ; led_mod[2] ; 18.927 ; 18.927 ; 18.927 ; 18.927 ; +; addr[3] ; led_mod[3] ; 19.222 ; 19.222 ; 19.222 ; 19.222 ; +; addr[3] ; led_mod[4] ; 18.854 ; 18.854 ; 18.854 ; 18.854 ; +; addr[3] ; led_mod[5] ; 18.492 ; 18.492 ; 18.492 ; 18.492 ; +; addr[3] ; led_mod[6] ; 19.235 ; 19.235 ; 19.235 ; 19.235 ; +; addr[3] ; value[0] ; 10.809 ; 10.809 ; 10.809 ; 10.809 ; +; addr[3] ; value[1] ; 11.928 ; 11.928 ; 11.928 ; 11.928 ; +; addr[3] ; value[2] ; 11.265 ; 11.265 ; 11.265 ; 11.265 ; +; addr[3] ; value[3] ; 10.454 ; 10.605 ; 10.605 ; 10.454 ; +; addr[3] ; value[4] ; 11.231 ; 11.231 ; 11.231 ; 11.231 ; +; addr[3] ; value[5] ; 10.966 ; 10.966 ; 10.966 ; 10.966 ; +; addr[4] ; led_floor[0] ; 17.295 ; 17.295 ; 17.295 ; 17.295 ; +; addr[4] ; led_floor[1] ; 17.079 ; 17.265 ; 17.265 ; 17.079 ; +; addr[4] ; led_floor[2] ; 16.502 ; 16.502 ; 16.502 ; 16.502 ; +; addr[4] ; led_floor[3] ; 16.859 ; 16.859 ; 16.859 ; 16.859 ; +; addr[4] ; led_floor[4] ; 17.368 ; 17.368 ; 17.368 ; 17.368 ; +; addr[4] ; led_floor[5] ; 17.160 ; 17.160 ; 17.160 ; 17.160 ; +; addr[4] ; led_floor[6] ; 17.393 ; 17.207 ; 17.207 ; 17.393 ; +; addr[4] ; led_mod[0] ; 20.063 ; 20.063 ; 20.063 ; 20.063 ; +; addr[4] ; led_mod[1] ; 21.358 ; 21.358 ; 21.358 ; 21.358 ; +; addr[4] ; led_mod[2] ; 20.154 ; 20.154 ; 20.154 ; 20.154 ; +; addr[4] ; led_mod[3] ; 20.449 ; 20.449 ; 20.449 ; 20.449 ; +; addr[4] ; led_mod[4] ; 20.081 ; 20.081 ; 20.081 ; 20.081 ; +; addr[4] ; led_mod[5] ; 19.719 ; 19.719 ; 19.719 ; 19.719 ; +; addr[4] ; led_mod[6] ; 20.462 ; 20.462 ; 20.462 ; 20.462 ; +; addr[4] ; value[0] ; 10.377 ; 11.188 ; 11.188 ; 10.377 ; +; addr[4] ; value[1] ; 10.423 ; 13.155 ; 13.155 ; 10.423 ; +; addr[4] ; value[2] ; 10.686 ; 12.155 ; 12.155 ; 10.686 ; +; addr[4] ; value[3] ; 10.425 ; 10.783 ; 10.783 ; 10.425 ; +; addr[4] ; value[4] ; 11.203 ; 11.203 ; 11.203 ; 11.203 ; +; addr[4] ; value[5] ; 10.583 ; 10.821 ; 10.821 ; 10.583 ; +; addr[5] ; led_floor[0] ; 16.491 ; 16.491 ; 16.491 ; 16.491 ; +; addr[5] ; led_floor[1] ; 16.461 ; 16.461 ; 16.461 ; 16.461 ; +; addr[5] ; led_floor[2] ; 15.698 ; 15.698 ; 15.698 ; 15.698 ; +; addr[5] ; led_floor[3] ; 16.055 ; 16.055 ; 16.055 ; 16.055 ; +; addr[5] ; led_floor[4] ; 16.564 ; 16.564 ; 16.564 ; 16.564 ; +; addr[5] ; led_floor[5] ; 16.356 ; 16.356 ; 16.356 ; 16.356 ; +; addr[5] ; led_floor[6] ; 16.589 ; 16.589 ; 16.589 ; 16.589 ; +; addr[5] ; led_mod[0] ; 19.259 ; 19.259 ; 19.259 ; 19.259 ; +; addr[5] ; led_mod[1] ; 20.554 ; 20.554 ; 20.554 ; 20.554 ; +; addr[5] ; led_mod[2] ; 19.350 ; 19.350 ; 19.350 ; 19.350 ; +; addr[5] ; led_mod[3] ; 19.645 ; 19.645 ; 19.645 ; 19.645 ; +; addr[5] ; led_mod[4] ; 19.277 ; 19.277 ; 19.277 ; 19.277 ; +; addr[5] ; led_mod[5] ; 18.915 ; 18.915 ; 18.915 ; 18.915 ; +; addr[5] ; led_mod[6] ; 19.658 ; 19.658 ; 19.658 ; 19.658 ; +; addr[5] ; value[0] ; 11.232 ; 11.232 ; 11.232 ; 11.232 ; +; addr[5] ; value[1] ; 12.351 ; 12.351 ; 12.351 ; 12.351 ; +; addr[5] ; value[2] ; 11.551 ; 11.551 ; 11.551 ; 11.551 ; +; addr[5] ; value[3] ; 9.884 ; 9.884 ; 9.884 ; 9.884 ; +; addr[5] ; value[4] ; 11.184 ; 11.184 ; 11.184 ; 11.184 ; +; addr[5] ; value[5] ; 10.153 ; 10.153 ; 10.153 ; 10.153 ; +; mode[0] ; led_mode[0] ; 9.216 ; ; ; 9.216 ; +; mode[0] ; led_mode[2] ; ; 9.441 ; 9.441 ; ; +; mode[0] ; led_mode[3] ; 8.501 ; ; ; 8.501 ; +; mode[0] ; led_mode[4] ; 6.054 ; ; ; 6.054 ; +; mode[0] ; led_mode[5] ; 8.878 ; ; ; 8.878 ; +; mode[1] ; led_mode[0] ; ; 10.087 ; 10.087 ; ; +; mode[1] ; led_mode[2] ; 10.311 ; ; ; 10.311 ; +; mode[1] ; led_mode[3] ; ; 9.372 ; 9.372 ; ; +; mode[1] ; led_mode[5] ; 9.702 ; ; ; 9.702 ; ; mode[1] ; led_mode[6] ; ; 5.370 ; 5.370 ; ; -; mode_segment ; led_floor[0] ; 7.713 ; 7.713 ; 7.713 ; 7.713 ; -; mode_segment ; led_floor[1] ; 7.485 ; ; ; 7.485 ; -; mode_segment ; led_floor[2] ; 8.086 ; 8.086 ; 8.086 ; 8.086 ; -; mode_segment ; led_floor[3] ; 7.501 ; 7.501 ; 7.501 ; 7.501 ; -; mode_segment ; led_floor[4] ; 7.526 ; 7.526 ; 7.526 ; 7.526 ; -; mode_segment ; led_floor[5] ; ; 8.163 ; 8.163 ; ; -; mode_segment ; led_floor[6] ; ; 7.515 ; 7.515 ; ; -; mode_segment ; led_mod[0] ; 9.044 ; 8.653 ; 8.653 ; 9.044 ; -; mode_segment ; led_mod[1] ; 9.260 ; 9.260 ; 9.260 ; 9.260 ; -; mode_segment ; led_mod[2] ; 9.024 ; 9.024 ; 9.024 ; 9.024 ; -; mode_segment ; led_mod[3] ; 9.135 ; 9.135 ; 9.135 ; 9.135 ; -; mode_segment ; led_mod[4] ; 8.565 ; 8.565 ; 8.565 ; 8.565 ; -; mode_segment ; led_mod[5] ; 8.891 ; 8.891 ; 8.891 ; 8.891 ; -; mode_segment ; led_mod[6] ; 8.919 ; 8.919 ; 8.919 ; 8.919 ; +; mode_segment ; led_floor[0] ; 9.254 ; 9.254 ; 9.254 ; 9.254 ; +; mode_segment ; led_floor[1] ; 9.236 ; ; ; 9.236 ; +; mode_segment ; led_floor[2] ; 8.463 ; 8.463 ; 8.463 ; 8.463 ; +; mode_segment ; led_floor[3] ; 8.311 ; 8.311 ; 8.311 ; 8.311 ; +; mode_segment ; led_floor[4] ; 8.815 ; 8.815 ; 8.815 ; 8.815 ; +; mode_segment ; led_floor[5] ; ; 8.610 ; 8.610 ; ; +; mode_segment ; led_floor[6] ; ; 8.840 ; 8.840 ; ; +; mode_segment ; led_mod[0] ; 10.193 ; 10.193 ; 10.193 ; 10.193 ; +; mode_segment ; led_mod[1] ; 10.223 ; 10.223 ; 10.223 ; 10.223 ; +; mode_segment ; led_mod[2] ; 10.289 ; 10.289 ; 10.289 ; 10.289 ; +; mode_segment ; led_mod[3] ; 9.318 ; 9.318 ; 9.318 ; 9.318 ; +; mode_segment ; led_mod[4] ; 10.226 ; 10.226 ; 10.226 ; 10.226 ; +; mode_segment ; led_mod[5] ; 9.053 ; 9.053 ; 9.053 ; 9.053 ; +; mode_segment ; led_mod[6] ; 10.589 ; 10.589 ; 10.589 ; 10.589 ; +--------------+--------------+--------+--------+--------+--------+ @@ -963,150 +963,150 @@ No paths to report. +--------------+--------------+-------+-------+-------+-------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +--------------+--------------+-------+-------+-------+-------+ -; addr[0] ; led_floor[0] ; 5.302 ; 5.302 ; 5.302 ; 5.302 ; -; addr[0] ; led_floor[1] ; 5.426 ; 5.224 ; 5.224 ; 5.426 ; -; addr[0] ; led_floor[2] ; 5.434 ; 5.434 ; 5.434 ; 5.434 ; -; addr[0] ; led_floor[3] ; 5.236 ; 5.236 ; 5.236 ; 5.236 ; -; addr[0] ; led_floor[4] ; 5.252 ; 5.252 ; 5.252 ; 5.252 ; -; addr[0] ; led_floor[5] ; 5.502 ; 5.502 ; 5.502 ; 5.502 ; -; addr[0] ; led_floor[6] ; 5.262 ; 5.464 ; 5.464 ; 5.262 ; -; addr[0] ; led_mod[0] ; 5.554 ; 5.554 ; 5.554 ; 5.554 ; -; addr[0] ; led_mod[1] ; 5.718 ; 5.718 ; 5.718 ; 5.718 ; -; addr[0] ; led_mod[2] ; 5.531 ; 5.531 ; 5.531 ; 5.531 ; -; addr[0] ; led_mod[3] ; 5.871 ; 5.871 ; 5.871 ; 5.871 ; -; addr[0] ; led_mod[4] ; 5.371 ; 5.371 ; 5.371 ; 5.371 ; -; addr[0] ; led_mod[5] ; 5.695 ; 5.695 ; 5.695 ; 5.695 ; -; addr[0] ; led_mod[6] ; 5.489 ; 5.489 ; 5.489 ; 5.489 ; -; addr[0] ; value[0] ; 4.943 ; 4.943 ; 4.943 ; 4.943 ; -; addr[0] ; value[1] ; 5.019 ; 5.048 ; 5.048 ; 5.019 ; -; addr[0] ; value[2] ; 5.045 ; 4.974 ; 4.974 ; 5.045 ; -; addr[0] ; value[3] ; 4.498 ; 4.498 ; 4.498 ; 4.498 ; -; addr[0] ; value[4] ; 4.580 ; 4.378 ; 4.378 ; 4.580 ; -; addr[0] ; value[5] ; 4.914 ; 5.063 ; 5.063 ; 4.914 ; -; addr[1] ; led_floor[0] ; 4.916 ; 4.916 ; 4.916 ; 4.916 ; -; addr[1] ; led_floor[1] ; 4.838 ; 4.838 ; 4.838 ; 4.838 ; -; addr[1] ; led_floor[2] ; 5.048 ; 5.048 ; 5.048 ; 5.048 ; -; addr[1] ; led_floor[3] ; 4.850 ; 4.850 ; 4.850 ; 4.850 ; -; addr[1] ; led_floor[4] ; 4.866 ; 4.866 ; 4.866 ; 4.866 ; -; addr[1] ; led_floor[5] ; 5.116 ; 5.116 ; 5.116 ; 5.116 ; -; addr[1] ; led_floor[6] ; 4.876 ; 4.876 ; 4.876 ; 4.876 ; -; addr[1] ; led_mod[0] ; 5.167 ; 5.167 ; 5.167 ; 5.167 ; -; addr[1] ; led_mod[1] ; 5.331 ; 5.331 ; 5.331 ; 5.331 ; -; addr[1] ; led_mod[2] ; 5.144 ; 5.144 ; 5.144 ; 5.144 ; -; addr[1] ; led_mod[3] ; 5.485 ; 5.485 ; 5.485 ; 5.485 ; -; addr[1] ; led_mod[4] ; 4.984 ; 4.984 ; 4.984 ; 4.984 ; -; addr[1] ; led_mod[5] ; 5.309 ; 5.309 ; 5.309 ; 5.309 ; -; addr[1] ; led_mod[6] ; 5.102 ; 5.102 ; 5.102 ; 5.102 ; -; addr[1] ; value[0] ; 4.207 ; 4.207 ; 4.207 ; 4.207 ; -; addr[1] ; value[1] ; 4.716 ; 4.716 ; 4.716 ; 4.716 ; -; addr[1] ; value[2] ; 4.552 ; 4.506 ; 4.506 ; 4.552 ; -; addr[1] ; value[3] ; 4.111 ; 4.193 ; 4.193 ; 4.111 ; -; addr[1] ; value[4] ; 3.992 ; 3.992 ; 3.992 ; 3.992 ; -; addr[1] ; value[5] ; 4.441 ; 4.441 ; 4.441 ; 4.441 ; -; addr[2] ; led_floor[0] ; 4.506 ; 4.506 ; 4.506 ; 4.506 ; -; addr[2] ; led_floor[1] ; 4.693 ; 4.428 ; 4.428 ; 4.693 ; -; addr[2] ; led_floor[2] ; 4.638 ; 4.638 ; 4.638 ; 4.638 ; -; addr[2] ; led_floor[3] ; 4.440 ; 4.440 ; 4.440 ; 4.440 ; -; addr[2] ; led_floor[4] ; 4.456 ; 4.456 ; 4.456 ; 4.456 ; -; addr[2] ; led_floor[5] ; 4.706 ; 4.706 ; 4.706 ; 4.706 ; -; addr[2] ; led_floor[6] ; 4.466 ; 4.731 ; 4.731 ; 4.466 ; -; addr[2] ; led_mod[0] ; 5.099 ; 5.099 ; 5.099 ; 5.099 ; -; addr[2] ; led_mod[1] ; 5.170 ; 5.170 ; 5.170 ; 5.170 ; -; addr[2] ; led_mod[2] ; 5.076 ; 5.076 ; 5.076 ; 5.076 ; -; addr[2] ; led_mod[3] ; 5.075 ; 5.075 ; 5.075 ; 5.075 ; -; addr[2] ; led_mod[4] ; 4.916 ; 4.916 ; 4.916 ; 4.916 ; -; addr[2] ; led_mod[5] ; 4.899 ; 4.899 ; 4.899 ; 4.899 ; -; addr[2] ; led_mod[6] ; 5.034 ; 5.034 ; 5.034 ; 5.034 ; -; addr[2] ; value[0] ; 4.183 ; 4.015 ; 4.015 ; 4.183 ; -; addr[2] ; value[1] ; 4.282 ; 4.179 ; 4.179 ; 4.282 ; -; addr[2] ; value[2] ; 4.549 ; 4.482 ; 4.482 ; 4.549 ; -; addr[2] ; value[3] ; 4.082 ; 4.043 ; 4.043 ; 4.082 ; -; addr[2] ; value[4] ; 3.847 ; 3.582 ; 3.582 ; 3.847 ; -; addr[2] ; value[5] ; 4.222 ; 4.075 ; 4.075 ; 4.222 ; -; addr[3] ; led_floor[0] ; 5.001 ; 5.001 ; 5.001 ; 5.001 ; -; addr[3] ; led_floor[1] ; 4.923 ; 4.968 ; 4.968 ; 4.923 ; -; addr[3] ; led_floor[2] ; 5.133 ; 5.133 ; 5.133 ; 5.133 ; -; addr[3] ; led_floor[3] ; 4.935 ; 4.935 ; 4.935 ; 4.935 ; -; addr[3] ; led_floor[4] ; 4.951 ; 4.951 ; 4.951 ; 4.951 ; -; addr[3] ; led_floor[5] ; 5.201 ; 5.201 ; 5.201 ; 5.201 ; -; addr[3] ; led_floor[6] ; 5.006 ; 4.961 ; 4.961 ; 5.006 ; -; addr[3] ; led_mod[0] ; 5.196 ; 5.196 ; 5.196 ; 5.196 ; -; addr[3] ; led_mod[1] ; 5.360 ; 5.360 ; 5.360 ; 5.360 ; -; addr[3] ; led_mod[2] ; 5.173 ; 5.173 ; 5.173 ; 5.173 ; -; addr[3] ; led_mod[3] ; 5.567 ; 5.567 ; 5.567 ; 5.567 ; -; addr[3] ; led_mod[4] ; 5.013 ; 5.013 ; 5.013 ; 5.013 ; -; addr[3] ; led_mod[5] ; 5.394 ; 5.394 ; 5.394 ; 5.394 ; -; addr[3] ; led_mod[6] ; 5.131 ; 5.131 ; 5.131 ; 5.131 ; -; addr[3] ; value[0] ; 4.326 ; 4.326 ; 4.326 ; 4.326 ; -; addr[3] ; value[1] ; 4.359 ; 4.429 ; 4.429 ; 4.359 ; -; addr[3] ; value[2] ; 4.561 ; 4.509 ; 4.509 ; 4.561 ; -; addr[3] ; value[3] ; 4.140 ; 4.283 ; 4.283 ; 4.140 ; -; addr[3] ; value[4] ; 4.077 ; 4.122 ; 4.122 ; 4.077 ; -; addr[3] ; value[5] ; 4.234 ; 4.325 ; 4.325 ; 4.234 ; -; addr[4] ; led_floor[0] ; 4.918 ; 4.918 ; 4.918 ; 4.918 ; -; addr[4] ; led_floor[1] ; 5.027 ; 4.840 ; 4.840 ; 5.027 ; -; addr[4] ; led_floor[2] ; 5.050 ; 5.050 ; 5.050 ; 5.050 ; -; addr[4] ; led_floor[3] ; 4.852 ; 4.852 ; 4.852 ; 4.852 ; -; addr[4] ; led_floor[4] ; 4.868 ; 4.868 ; 4.868 ; 4.868 ; -; addr[4] ; led_floor[5] ; 5.118 ; 5.118 ; 5.118 ; 5.118 ; -; addr[4] ; led_floor[6] ; 4.878 ; 5.065 ; 5.065 ; 4.878 ; -; addr[4] ; led_mod[0] ; 5.107 ; 5.107 ; 5.107 ; 5.107 ; -; addr[4] ; led_mod[1] ; 5.271 ; 5.271 ; 5.271 ; 5.271 ; -; addr[4] ; led_mod[2] ; 5.084 ; 5.084 ; 5.084 ; 5.084 ; -; addr[4] ; led_mod[3] ; 5.487 ; 5.487 ; 5.487 ; 5.487 ; -; addr[4] ; led_mod[4] ; 4.924 ; 4.924 ; 4.924 ; 4.924 ; -; addr[4] ; led_mod[5] ; 5.311 ; 5.311 ; 5.311 ; 5.311 ; -; addr[4] ; led_mod[6] ; 5.042 ; 5.042 ; 5.042 ; 5.042 ; -; addr[4] ; value[0] ; 4.464 ; 4.383 ; 4.383 ; 4.464 ; -; addr[4] ; value[1] ; 4.822 ; 4.563 ; 4.563 ; 4.822 ; -; addr[4] ; value[2] ; 4.472 ; 4.531 ; 4.531 ; 4.472 ; -; addr[4] ; value[3] ; 4.051 ; 4.070 ; 4.070 ; 4.051 ; -; addr[4] ; value[4] ; 4.181 ; 3.994 ; 3.994 ; 4.181 ; -; addr[4] ; value[5] ; 4.713 ; 4.459 ; 4.459 ; 4.713 ; -; addr[5] ; led_floor[0] ; 5.063 ; 5.063 ; 5.063 ; 5.063 ; -; addr[5] ; led_floor[1] ; 4.985 ; 4.985 ; 4.985 ; 4.985 ; -; addr[5] ; led_floor[2] ; 5.195 ; 5.195 ; 5.195 ; 5.195 ; -; addr[5] ; led_floor[3] ; 4.997 ; 4.997 ; 4.997 ; 4.997 ; -; addr[5] ; led_floor[4] ; 5.013 ; 5.013 ; 5.013 ; 5.013 ; -; addr[5] ; led_floor[5] ; 5.263 ; 5.263 ; 5.263 ; 5.263 ; -; addr[5] ; led_floor[6] ; 5.023 ; 5.023 ; 5.023 ; 5.023 ; -; addr[5] ; led_mod[0] ; 5.283 ; 5.283 ; 5.283 ; 5.283 ; -; addr[5] ; led_mod[1] ; 5.447 ; 5.447 ; 5.447 ; 5.447 ; -; addr[5] ; led_mod[2] ; 5.260 ; 5.260 ; 5.260 ; 5.260 ; -; addr[5] ; led_mod[3] ; 5.586 ; 5.586 ; 5.586 ; 5.586 ; -; addr[5] ; led_mod[4] ; 5.100 ; 5.100 ; 5.100 ; 5.100 ; -; addr[5] ; led_mod[5] ; 5.449 ; 5.449 ; 5.449 ; 5.449 ; -; addr[5] ; led_mod[6] ; 5.218 ; 5.218 ; 5.218 ; 5.218 ; -; addr[5] ; value[0] ; 4.002 ; 4.002 ; 4.002 ; 4.002 ; -; addr[5] ; value[1] ; 4.378 ; 4.378 ; 4.378 ; 4.378 ; -; addr[5] ; value[2] ; 4.476 ; 4.476 ; 4.476 ; 4.476 ; -; addr[5] ; value[3] ; 4.227 ; 4.227 ; 4.227 ; 4.227 ; -; addr[5] ; value[4] ; 4.139 ; 4.139 ; 4.139 ; 4.139 ; -; addr[5] ; value[5] ; 4.235 ; 4.235 ; 4.235 ; 4.235 ; -; mode[0] ; led_mode[0] ; 4.002 ; ; ; 4.002 ; -; mode[0] ; led_mode[2] ; ; 4.174 ; 4.174 ; ; -; mode[0] ; led_mode[3] ; 4.362 ; ; ; 4.362 ; -; mode[0] ; led_mode[4] ; 2.941 ; ; ; 2.941 ; -; mode[0] ; led_mode[5] ; 3.873 ; ; ; 3.873 ; -; mode[1] ; led_mode[0] ; ; 3.911 ; 3.911 ; ; -; mode[1] ; led_mode[2] ; 4.111 ; ; ; 4.111 ; -; mode[1] ; led_mode[3] ; ; 4.271 ; 4.271 ; ; -; mode[1] ; led_mode[5] ; 3.799 ; ; ; 3.799 ; +; addr[0] ; led_floor[0] ; 5.778 ; 5.778 ; 5.778 ; 5.778 ; +; addr[0] ; led_floor[1] ; 5.759 ; 5.759 ; 5.759 ; 5.759 ; +; addr[0] ; led_floor[2] ; 5.521 ; 5.521 ; 5.521 ; 5.521 ; +; addr[0] ; led_floor[3] ; 5.483 ; 5.483 ; 5.483 ; 5.483 ; +; addr[0] ; led_floor[4] ; 5.653 ; 5.653 ; 5.653 ; 5.653 ; +; addr[0] ; led_floor[5] ; 5.613 ; 5.613 ; 5.613 ; 5.613 ; +; addr[0] ; led_floor[6] ; 5.675 ; 5.675 ; 5.675 ; 5.675 ; +; addr[0] ; led_mod[0] ; 5.420 ; 5.420 ; 5.420 ; 5.420 ; +; addr[0] ; led_mod[1] ; 6.056 ; 6.056 ; 6.056 ; 6.056 ; +; addr[0] ; led_mod[2] ; 5.460 ; 5.460 ; 5.460 ; 5.460 ; +; addr[0] ; led_mod[3] ; 5.727 ; 5.727 ; 5.727 ; 5.727 ; +; addr[0] ; led_mod[4] ; 5.440 ; 5.440 ; 5.440 ; 5.440 ; +; addr[0] ; led_mod[5] ; 5.583 ; 5.583 ; 5.583 ; 5.583 ; +; addr[0] ; led_mod[6] ; 5.568 ; 5.568 ; 5.568 ; 5.568 ; +; addr[0] ; value[0] ; 4.733 ; 4.733 ; 4.733 ; 4.733 ; +; addr[0] ; value[1] ; 4.915 ; 4.915 ; 4.915 ; 4.915 ; +; addr[0] ; value[2] ; 4.914 ; 4.778 ; 4.778 ; 4.914 ; +; addr[0] ; value[3] ; 4.741 ; 4.741 ; 4.741 ; 4.741 ; +; addr[0] ; value[4] ; 5.082 ; 5.011 ; 5.011 ; 5.082 ; +; addr[0] ; value[5] ; 4.850 ; 4.850 ; 4.850 ; 4.850 ; +; addr[1] ; led_floor[0] ; 5.619 ; 5.619 ; 5.619 ; 5.619 ; +; addr[1] ; led_floor[1] ; 5.609 ; 5.718 ; 5.718 ; 5.609 ; +; addr[1] ; led_floor[2] ; 5.362 ; 5.362 ; 5.362 ; 5.362 ; +; addr[1] ; led_floor[3] ; 5.485 ; 5.485 ; 5.485 ; 5.485 ; +; addr[1] ; led_floor[4] ; 5.655 ; 5.655 ; 5.655 ; 5.655 ; +; addr[1] ; led_floor[5] ; 5.615 ; 5.615 ; 5.615 ; 5.615 ; +; addr[1] ; led_floor[6] ; 5.677 ; 5.677 ; 5.677 ; 5.677 ; +; addr[1] ; led_mod[0] ; 5.182 ; 5.182 ; 5.182 ; 5.182 ; +; addr[1] ; led_mod[1] ; 5.818 ; 5.818 ; 5.818 ; 5.818 ; +; addr[1] ; led_mod[2] ; 5.222 ; 5.222 ; 5.222 ; 5.222 ; +; addr[1] ; led_mod[3] ; 5.550 ; 5.550 ; 5.550 ; 5.550 ; +; addr[1] ; led_mod[4] ; 5.202 ; 5.202 ; 5.202 ; 5.202 ; +; addr[1] ; led_mod[5] ; 5.404 ; 5.404 ; 5.404 ; 5.404 ; +; addr[1] ; led_mod[6] ; 5.330 ; 5.330 ; 5.330 ; 5.330 ; +; addr[1] ; value[0] ; 4.641 ; 4.641 ; 4.641 ; 4.641 ; +; addr[1] ; value[1] ; 4.841 ; 4.841 ; 4.841 ; 4.841 ; +; addr[1] ; value[2] ; 4.925 ; 4.950 ; 4.950 ; 4.925 ; +; addr[1] ; value[3] ; 4.503 ; 4.844 ; 4.844 ; 4.503 ; +; addr[1] ; value[4] ; 4.699 ; 4.699 ; 4.699 ; 4.699 ; +; addr[1] ; value[5] ; 4.852 ; 4.852 ; 4.852 ; 4.852 ; +; addr[2] ; led_floor[0] ; 5.248 ; 5.248 ; 5.248 ; 5.248 ; +; addr[2] ; led_floor[1] ; 5.338 ; 5.238 ; 5.238 ; 5.338 ; +; addr[2] ; led_floor[2] ; 4.991 ; 4.991 ; 4.991 ; 4.991 ; +; addr[2] ; led_floor[3] ; 5.047 ; 5.047 ; 5.047 ; 5.047 ; +; addr[2] ; led_floor[4] ; 5.298 ; 5.217 ; 5.217 ; 5.298 ; +; addr[2] ; led_floor[5] ; 5.177 ; 5.177 ; 5.177 ; 5.177 ; +; addr[2] ; led_floor[6] ; 5.239 ; 5.420 ; 5.420 ; 5.239 ; +; addr[2] ; led_mod[0] ; 5.070 ; 5.070 ; 5.070 ; 5.070 ; +; addr[2] ; led_mod[1] ; 5.660 ; 5.660 ; 5.660 ; 5.660 ; +; addr[2] ; led_mod[2] ; 5.129 ; 5.129 ; 5.129 ; 5.129 ; +; addr[2] ; led_mod[3] ; 5.381 ; 5.381 ; 5.381 ; 5.381 ; +; addr[2] ; led_mod[4] ; 5.090 ; 5.090 ; 5.090 ; 5.090 ; +; addr[2] ; led_mod[5] ; 5.246 ; 5.246 ; 5.246 ; 5.246 ; +; addr[2] ; led_mod[6] ; 5.219 ; 5.219 ; 5.219 ; 5.219 ; +; addr[2] ; value[0] ; 4.401 ; 4.399 ; 4.399 ; 4.401 ; +; addr[2] ; value[1] ; 4.497 ; 4.491 ; 4.491 ; 4.497 ; +; addr[2] ; value[2] ; 4.298 ; 4.198 ; 4.198 ; 4.298 ; +; addr[2] ; value[3] ; 4.502 ; 4.410 ; 4.410 ; 4.502 ; +; addr[2] ; value[4] ; 4.688 ; 4.522 ; 4.522 ; 4.688 ; +; addr[2] ; value[5] ; 4.632 ; 4.414 ; 4.414 ; 4.632 ; +; addr[3] ; led_floor[0] ; 4.787 ; 4.787 ; 4.787 ; 4.787 ; +; addr[3] ; led_floor[1] ; 4.886 ; 4.768 ; 4.768 ; 4.886 ; +; addr[3] ; led_floor[2] ; 4.530 ; 4.530 ; 4.530 ; 4.530 ; +; addr[3] ; led_floor[3] ; 4.492 ; 4.492 ; 4.492 ; 4.492 ; +; addr[3] ; led_floor[4] ; 4.780 ; 4.662 ; 4.662 ; 4.780 ; +; addr[3] ; led_floor[5] ; 4.622 ; 4.622 ; 4.622 ; 4.622 ; +; addr[3] ; led_floor[6] ; 4.684 ; 4.802 ; 4.802 ; 4.684 ; +; addr[3] ; led_mod[0] ; 4.658 ; 4.658 ; 4.658 ; 4.658 ; +; addr[3] ; led_mod[1] ; 5.294 ; 5.294 ; 5.294 ; 5.294 ; +; addr[3] ; led_mod[2] ; 4.698 ; 4.698 ; 4.698 ; 4.698 ; +; addr[3] ; led_mod[3] ; 5.026 ; 5.026 ; 5.026 ; 5.026 ; +; addr[3] ; led_mod[4] ; 4.678 ; 4.678 ; 4.678 ; 4.678 ; +; addr[3] ; led_mod[5] ; 4.880 ; 4.880 ; 4.880 ; 4.880 ; +; addr[3] ; led_mod[6] ; 4.806 ; 4.806 ; 4.806 ; 4.806 ; +; addr[3] ; value[0] ; 4.147 ; 4.299 ; 4.299 ; 4.147 ; +; addr[3] ; value[1] ; 4.135 ; 4.055 ; 4.055 ; 4.135 ; +; addr[3] ; value[2] ; 4.053 ; 3.968 ; 3.968 ; 4.053 ; +; addr[3] ; value[3] ; 3.979 ; 4.105 ; 4.105 ; 3.979 ; +; addr[3] ; value[4] ; 3.912 ; 3.966 ; 3.966 ; 3.912 ; +; addr[3] ; value[5] ; 3.977 ; 3.859 ; 3.859 ; 3.977 ; +; addr[4] ; led_floor[0] ; 5.293 ; 5.293 ; 5.293 ; 5.293 ; +; addr[4] ; led_floor[1] ; 5.274 ; 5.332 ; 5.332 ; 5.274 ; +; addr[4] ; led_floor[2] ; 5.036 ; 5.036 ; 5.036 ; 5.036 ; +; addr[4] ; led_floor[3] ; 4.998 ; 4.998 ; 4.998 ; 4.998 ; +; addr[4] ; led_floor[4] ; 5.168 ; 5.226 ; 5.226 ; 5.168 ; +; addr[4] ; led_floor[5] ; 5.128 ; 5.128 ; 5.128 ; 5.128 ; +; addr[4] ; led_floor[6] ; 5.248 ; 5.190 ; 5.190 ; 5.248 ; +; addr[4] ; led_mod[0] ; 5.111 ; 5.111 ; 5.111 ; 5.111 ; +; addr[4] ; led_mod[1] ; 5.747 ; 5.747 ; 5.747 ; 5.747 ; +; addr[4] ; led_mod[2] ; 5.151 ; 5.151 ; 5.151 ; 5.151 ; +; addr[4] ; led_mod[3] ; 5.334 ; 5.334 ; 5.334 ; 5.334 ; +; addr[4] ; led_mod[4] ; 5.131 ; 5.131 ; 5.131 ; 5.131 ; +; addr[4] ; led_mod[5] ; 5.190 ; 5.190 ; 5.190 ; 5.190 ; +; addr[4] ; led_mod[6] ; 5.259 ; 5.259 ; 5.259 ; 5.259 ; +; addr[4] ; value[0] ; 4.340 ; 4.624 ; 4.624 ; 4.340 ; +; addr[4] ; value[1] ; 4.615 ; 4.599 ; 4.599 ; 4.615 ; +; addr[4] ; value[2] ; 4.342 ; 4.459 ; 4.459 ; 4.342 ; +; addr[4] ; value[3] ; 4.496 ; 4.432 ; 4.432 ; 4.496 ; +; addr[4] ; value[4] ; 4.419 ; 4.483 ; 4.483 ; 4.419 ; +; addr[4] ; value[5] ; 4.365 ; 4.423 ; 4.423 ; 4.365 ; +; addr[5] ; led_floor[0] ; 5.057 ; 5.057 ; 5.057 ; 5.057 ; +; addr[5] ; led_floor[1] ; 5.038 ; 5.038 ; 5.038 ; 5.038 ; +; addr[5] ; led_floor[2] ; 4.800 ; 4.800 ; 4.800 ; 4.800 ; +; addr[5] ; led_floor[3] ; 4.762 ; 4.762 ; 4.762 ; 4.762 ; +; addr[5] ; led_floor[4] ; 4.932 ; 4.932 ; 4.932 ; 4.932 ; +; addr[5] ; led_floor[5] ; 4.892 ; 4.892 ; 4.892 ; 4.892 ; +; addr[5] ; led_floor[6] ; 4.954 ; 4.954 ; 4.954 ; 4.954 ; +; addr[5] ; led_mod[0] ; 4.928 ; 4.928 ; 4.928 ; 4.928 ; +; addr[5] ; led_mod[1] ; 5.564 ; 5.564 ; 5.564 ; 5.564 ; +; addr[5] ; led_mod[2] ; 4.968 ; 4.968 ; 4.968 ; 4.968 ; +; addr[5] ; led_mod[3] ; 5.227 ; 5.227 ; 5.227 ; 5.227 ; +; addr[5] ; led_mod[4] ; 4.948 ; 4.948 ; 4.948 ; 4.948 ; +; addr[5] ; led_mod[5] ; 5.083 ; 5.083 ; 5.083 ; 5.083 ; +; addr[5] ; led_mod[6] ; 5.076 ; 5.076 ; 5.076 ; 5.076 ; +; addr[5] ; value[0] ; 4.233 ; 4.233 ; 4.233 ; 4.233 ; +; addr[5] ; value[1] ; 4.179 ; 4.179 ; 4.179 ; 4.179 ; +; addr[5] ; value[2] ; 4.311 ; 4.311 ; 4.311 ; 4.311 ; +; addr[5] ; value[3] ; 4.272 ; 4.249 ; 4.249 ; 4.272 ; +; addr[5] ; value[4] ; 4.493 ; 4.146 ; 4.146 ; 4.493 ; +; addr[5] ; value[5] ; 4.129 ; 4.129 ; 4.129 ; 4.129 ; +; mode[0] ; led_mode[0] ; 4.093 ; ; ; 4.093 ; +; mode[0] ; led_mode[2] ; ; 4.252 ; 4.252 ; ; +; mode[0] ; led_mode[3] ; 3.801 ; ; ; 3.801 ; +; mode[0] ; led_mode[4] ; 2.926 ; ; ; 2.926 ; +; mode[0] ; led_mode[5] ; 3.962 ; ; ; 3.962 ; +; mode[1] ; led_mode[0] ; ; 4.433 ; 4.433 ; ; +; mode[1] ; led_mode[2] ; 4.619 ; ; ; 4.619 ; +; mode[1] ; led_mode[3] ; ; 4.141 ; 4.141 ; ; +; mode[1] ; led_mode[5] ; 4.301 ; ; ; 4.301 ; ; mode[1] ; led_mode[6] ; ; 2.667 ; 2.667 ; ; -; mode_segment ; led_floor[0] ; 3.492 ; 3.492 ; 3.492 ; 3.492 ; -; mode_segment ; led_floor[1] ; 3.418 ; ; ; 3.418 ; -; mode_segment ; led_floor[2] ; 3.619 ; 3.619 ; 3.619 ; 3.619 ; -; mode_segment ; led_floor[3] ; 3.407 ; 3.407 ; 3.407 ; 3.407 ; -; mode_segment ; led_floor[4] ; 3.424 ; 3.424 ; 3.424 ; 3.424 ; -; mode_segment ; led_floor[5] ; ; 3.691 ; 3.691 ; ; -; mode_segment ; led_floor[6] ; ; 3.430 ; 3.430 ; ; -; mode_segment ; led_mod[0] ; 3.854 ; 3.854 ; 3.854 ; 3.854 ; -; mode_segment ; led_mod[1] ; 4.049 ; 4.049 ; 4.049 ; 4.049 ; -; mode_segment ; led_mod[2] ; 3.956 ; 3.956 ; 3.956 ; 3.956 ; -; mode_segment ; led_mod[3] ; 3.916 ; 3.916 ; 3.916 ; 3.916 ; -; mode_segment ; led_mod[4] ; 3.797 ; 3.797 ; 3.797 ; 3.797 ; -; mode_segment ; led_mod[5] ; 3.615 ; 3.906 ; 3.906 ; 3.615 ; -; mode_segment ; led_mod[6] ; 3.909 ; 3.909 ; 3.909 ; 3.909 ; +; mode_segment ; led_floor[0] ; 4.123 ; 4.123 ; 4.123 ; 4.123 ; +; mode_segment ; led_floor[1] ; 4.101 ; ; ; 4.101 ; +; mode_segment ; led_floor[2] ; 3.868 ; 3.868 ; 3.868 ; 3.868 ; +; mode_segment ; led_floor[3] ; 3.791 ; 3.791 ; 3.791 ; 3.791 ; +; mode_segment ; led_floor[4] ; 3.961 ; 3.961 ; 3.961 ; 3.961 ; +; mode_segment ; led_floor[5] ; ; 3.920 ; 3.920 ; ; +; mode_segment ; led_floor[6] ; ; 3.983 ; 3.983 ; ; +; mode_segment ; led_mod[0] ; 4.442 ; 4.450 ; 4.450 ; 4.442 ; +; mode_segment ; led_mod[1] ; 4.543 ; 4.543 ; 4.543 ; 4.543 ; +; mode_segment ; led_mod[2] ; 4.491 ; 4.491 ; 4.491 ; 4.491 ; +; mode_segment ; led_mod[3] ; 4.061 ; 4.061 ; 4.061 ; 4.061 ; +; mode_segment ; led_mod[4] ; 4.454 ; 4.454 ; 4.454 ; 4.454 ; +; mode_segment ; led_mod[5] ; 3.922 ; 4.083 ; 4.083 ; 3.922 ; +; mode_segment ; led_mod[6] ; 4.580 ; 4.580 ; 4.580 ; 4.580 ; +--------------+--------------+-------+-------+-------+-------+ @@ -1152,7 +1152,7 @@ Warning (125092): Tcl Script File lpm_counter1.qip not found Info: ******************************************************************* Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer Info: Version 13.0.0 Build 156 04/24/2013 SJ Web Edition - Info: Processing started: Wed Nov 01 22:48:51 2017 + Info: Processing started: Thu Nov 02 02:55:28 2017 Info: Command: quartus_sta gA6_lab3 -c gA6_lab3 Info: qsta_default_script.tcl version: #1 Info (11104): Parallel Compilation has detected 4 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 2 of the 2 physical processors detected instead. @@ -1190,9 +1190,9 @@ Info (332001): The selected device family is not supported by the report_metasta Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 5 warnings - Info: Peak virtual memory: 522 megabytes - Info: Processing ended: Wed Nov 01 22:48:53 2017 - Info: Elapsed time: 00:00:02 - Info: Total CPU time (on all processors): 00:00:01 + Info: Peak virtual memory: 534 megabytes + Info: Processing ended: Thu Nov 02 02:55:33 2017 + Info: Elapsed time: 00:00:05 + Info: Total CPU time (on all processors): 00:00:04 diff --git a/lab3/output_files/gA6_stack52_testbed.stp b/lab3/output_files/gA6_stack52_testbed.stp index fa8ad23..6b0616a 100644 --- a/lab3/output_files/gA6_stack52_testbed.stp +++ b/lab3/output_files/gA6_stack52_testbed.stp @@ -2,29 +2,9 @@ - - - - - - - - - - - - + - - - - - - - - - - + @@ -177,200 +157,199 @@ - - - - - - + + + + + + - - + + - - - + + + - - - - - - - + + + + + + + - - - - - - - + + + + + + + - - - - - - - + + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - + + - - - - - - + + + + + + - - + + - - - + + + - - - - - - - + + + + + + + - - - - - - - + + + + + + + - - - - - - - + + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - + + - - - - - - + + + + + + - - + + - - - + + + - - - - - - - + + + + + + + - - - - - - - + + + + + + + - - - - - - - + + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - + + - + 'button' == rising edge - - + @@ -394,12 +373,32 @@ - 00000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000010011000010010000100000100100100000111001001 + 01010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010110010100 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 + + + + + + + + + + + + + + + + + + + + diff --git a/lab3/output_files/gA6_stack52_testbed_auto_stripped.stp b/lab3/output_files/gA6_stack52_testbed_auto_stripped.stp index 78de80f..6b0616a 100644 --- a/lab3/output_files/gA6_stack52_testbed_auto_stripped.stp +++ b/lab3/output_files/gA6_stack52_testbed_auto_stripped.stp @@ -157,189 +157,189 @@ - - - - - - + + + + + + - - + + - - - + + + - - - - - - - + + + + + + + - - - - - - - + + + + + + + - - - - - - - + + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - + + - - - - - - + + + + + + - - + + - - - + + + - - - - - - - + + + + + + + - - - - - - - + + + + + + + - - - - - - - + + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - + + - - - - - - + + + + + + - - + + - - - + + + - - - - - - - + + + + + + + - - - - - - - + + + + + + + - - - - - - - + + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - + + @@ -349,8 +349,7 @@ 'button' == rising edge - - + @@ -374,7 +373,7 @@ - 00000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000000001000000001100110000100100100000111001000000000100000000110011000010010010000011100100000000010000000011001100001001001000001110010000010011000010010000100000100100100000111001001 + 01010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010111010100010100101000000100010001001111100001011101010001010010100000010001000100111110000101110101000101001010000001000100010011111000010110010100 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 diff --git a/lab3/simulation/modelsim/gA6_lab3.vo b/lab3/simulation/modelsim/gA6_lab3.vo index e2aad44..b007e87 100644 --- a/lab3/simulation/modelsim/gA6_lab3.vo +++ b/lab3/simulation/modelsim/gA6_lab3.vo @@ -16,7 +16,7 @@ // PROGRAM "Quartus II 64-Bit" // VERSION "Version 13.0.0 Build 156 04/24/2013 SJ Web Edition" -// DATE "11/01/2017 22:48:55" +// DATE "11/02/2017 02:55:38" // // Device: Altera EP2C20F484C7 Package FBGA484 @@ -97,18 +97,18 @@ output [5:0] value; // led_mode[2] => Location: PIN_D6, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA // led_mode[1] => Location: PIN_D5, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA // led_mode[0] => Location: PIN_F4, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA -// num[5] => Location: PIN_A13, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA -// num[4] => Location: PIN_F10, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA -// num[3] => Location: PIN_F11, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA -// num[2] => Location: PIN_A11, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA -// num[1] => Location: PIN_B11, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA -// num[0] => Location: PIN_E11, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA -// value[5] => Location: PIN_C10, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA -// value[4] => Location: PIN_A15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA -// value[3] => Location: PIN_A14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA -// value[2] => Location: PIN_H9, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA -// value[1] => Location: PIN_B9, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA -// value[0] => Location: PIN_D11, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// num[5] => Location: PIN_H19, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// num[4] => Location: PIN_B17, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// num[3] => Location: PIN_L18, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// num[2] => Location: PIN_J22, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// num[1] => Location: PIN_F14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// num[0] => Location: PIN_B15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// value[5] => Location: PIN_B13, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// value[4] => Location: PIN_B14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// value[3] => Location: PIN_A13, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// value[2] => Location: PIN_J15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// value[1] => Location: PIN_E14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// value[0] => Location: PIN_A15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA // addr[5] => Location: PIN_U12, I/O Standard: 3.3-V LVTTL, Current Strength: Default // addr[4] => Location: PIN_W12, I/O Standard: 3.3-V LVTTL, Current Strength: Default // addr[1] => Location: PIN_L21, I/O Standard: 3.3-V LVTTL, Current Strength: Default @@ -139,64 +139,56 @@ tri1 devclrn; tri1 devpor; tri1 devoe; wire \inst|inst|auto_generated|counter_comb_bita2~combout ; +wire \inst|inst|auto_generated|counter_comb_bita4~combout ; wire \inst|inst|auto_generated|counter_comb_bita13~combout ; wire \inst|inst|auto_generated|counter_comb_bita15~combout ; wire \inst|inst|auto_generated|counter_comb_bita16~combout ; wire \inst|inst|auto_generated|counter_comb_bita19~COUT ; wire \inst|inst|auto_generated|counter_comb_bita20~combout ; wire \inst|inst12|auto_generated|counter_comb_bita2~combout ; +wire \inst|inst12|auto_generated|counter_comb_bita4~combout ; wire \inst|inst12|auto_generated|counter_comb_bita13~combout ; wire \inst|inst12|auto_generated|counter_comb_bita15~combout ; wire \inst|inst12|auto_generated|counter_comb_bita16~combout ; wire \inst|inst12|auto_generated|counter_comb_bita19~COUT ; wire \inst|inst12|auto_generated|counter_comb_bita20~combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~2_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~3_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~5_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~4_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~17_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~18_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~19_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~20_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~21_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~22_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~9_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~10_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~11_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~12_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~13_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~14_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~15_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~16_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~23_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~24_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~25_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~26_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~27_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~28_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~29_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~30_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~31_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~32_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~33_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~34_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~36_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~37_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~38_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~19_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~20_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~21_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~22_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~39_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~40_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~18_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~23_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~24_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~41_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~42_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~43_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~44_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~45_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~46_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~27_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~31_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~26_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~47_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~48_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~49_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~50_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~51_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~52_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~53_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~54_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~55_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~56_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~57_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~58_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~59_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~60_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~61_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~62_combout ; @@ -210,31 +202,29 @@ wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~40_comb wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~41_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~42_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~64_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~65_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~66_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~67_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~68_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~69_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~43_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~44_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~45_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~46_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~73_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~74_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~75_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~48_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~76_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~77_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~78_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~79_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~80_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~81_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~83_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~84_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~85_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~86_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~52_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~53_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~54_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~55_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~56_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~57_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~58_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~59_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~87_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~88_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~89_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~90_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~61_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~62_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~63_combout ; @@ -243,119 +233,96 @@ wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~65_comb wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~66_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~93_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~94_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~96_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~97_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~95_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~99_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~100_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~101_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~102_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~103_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~104_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~106_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~107_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~108_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~109_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~69_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~70_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~71_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~72_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~73_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~74_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~75_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~76_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~110_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~78_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~79_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~80_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~112_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~113_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~81_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~82_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~83_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~116_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~117_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~118_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~122_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~123_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~124_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~129_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~130_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~126_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~131_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~132_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~86_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~87_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~88_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~89_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~90_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~91_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~92_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~93_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~133_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~134_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~137_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~138_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~98_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~139_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~140_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~141_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~99_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~145_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~146_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~147_combout ; -wire \inst|inst5|inst5|inst2|inst~combout ; wire \inst|inst5|inst5|inst3|inst3~1_combout ; wire \inst|inst5|inst5|inst3|inst3~2_combout ; -wire \inst|inst1|auto_generated|aneb_result_wire[0]~1_combout ; -wire \inst|inst4|inst107~combout ; +wire \inst|inst1|auto_generated|aneb_result_wire[0]~3_combout ; +wire \inst|inst1|auto_generated|aneb_result_wire[0]~7_combout ; wire \inst|inst11|auto_generated|aneb_result_wire[0]~1_combout ; -wire \inst|inst4|inst100|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst98|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst97|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst11|auto_generated|aneb_result_wire[0]~5_combout ; +wire \inst|inst4|inst115|auto_generated|_~0_combout ; +wire \inst|inst4|inst4|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst124|inst49~combout ; +wire \inst|inst4|inst3|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst6|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst30|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst26|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst29|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst24|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst124|inst39~combout ; wire \inst|inst4|inst102|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst44|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst43|$00000|auto_generated|result_node[4]~0_combout ; wire \inst|inst4|inst40|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst46|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst36|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst38|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst58|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst32|$00000|auto_generated|result_node[4]~0_combout ; wire \inst|inst4|inst56|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst62|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst60|$00000|auto_generated|result_node[4]~0_combout ; wire \inst|inst4|inst50|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst48|$00000|auto_generated|result_node[4]~0_combout ; wire \inst|inst4|inst55|$00000|auto_generated|result_node[4]~0_combout ; wire \inst|inst4|inst52|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst76|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst74|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst72|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst78|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst69|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst66|$00000|auto_generated|result_node[4]~0_combout ; wire \inst|inst4|inst64|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst94|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst70|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst83|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst80|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst86|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst84|$00000|auto_generated|result_node[4]~0_combout ; wire \inst|inst4|inst12|$00000|auto_generated|result_node[3]~1_combout ; wire \inst|inst4|inst10|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst8|$00000|auto_generated|result_node[3]~1_combout ; wire \inst|inst4|inst15|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst4|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst3|$00000|auto_generated|result_node[3]~1_combout ; wire \inst|inst4|inst1|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst26|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst6|$00000|auto_generated|result_node[3]~1_combout ; wire \inst|inst4|inst24|$00000|auto_generated|result_node[3]~1_combout ; wire \inst|inst4|inst18|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst16|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst30|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst29|$00000|auto_generated|result_node[3]~1_combout ; wire \inst|inst4|inst22|$00000|auto_generated|result_node[3]~1_combout ; wire \inst|inst4|inst20|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst97|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst100|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst98|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst102|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst44|$00000|auto_generated|result_node[3]~1_combout ; wire \inst|inst4|inst43|$00000|auto_generated|result_node[3]~1_combout ; wire \inst|inst4|inst40|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst46|$00000|auto_generated|result_node[3]~1_combout ; wire \inst|inst4|inst36|$00000|auto_generated|result_node[3]~1_combout ; wire \inst|inst4|inst34|$00000|auto_generated|result_node[3]~1_combout ; wire \inst|inst4|inst32|$00000|auto_generated|result_node[3]~1_combout ; wire \inst|inst4|inst38|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst58|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst48|$00000|auto_generated|result_node[3]~1_combout ; wire \inst|inst4|inst76|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst74|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst72|$00000|auto_generated|result_node[3]~1_combout ; wire \inst|inst4|inst78|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst69|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst66|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst64|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst70|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst94|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst90|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst92|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst88|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst86|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst83|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst84|$00000|auto_generated|result_node[3]~1_combout ; wire \inst|inst4|inst80|$00000|auto_generated|result_node[3]~1_combout ; wire \inst|inst4|inst12|$00000|auto_generated|result_node[2]~2_combout ; wire \inst|inst4|inst10|$00000|auto_generated|result_node[2]~2_combout ; @@ -376,27 +343,29 @@ wire \inst|inst4|inst16|$00000|auto_generated|result_node[2]~2_combout ; wire \inst|inst4|inst100|$00000|auto_generated|result_node[2]~2_combout ; wire \inst|inst4|inst98|$00000|auto_generated|result_node[2]~2_combout ; wire \inst|inst4|inst97|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst102|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst44|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst43|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst40|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst46|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst36|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst34|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst32|$00000|auto_generated|result_node[2]~2_combout ; wire \inst|inst4|inst38|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst62|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst76|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst69|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst66|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst60|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst50|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst55|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst52|$00000|auto_generated|result_node[2]~2_combout ; wire \inst|inst4|inst64|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst70|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst90|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst88|$00000|auto_generated|result_node[2]~2_combout ; wire \inst|inst4|inst94|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst92|$00000|auto_generated|result_node[2]~2_combout ; wire \inst|inst4|inst83|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst80|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst86|$00000|auto_generated|result_node[2]~2_combout ; wire \inst|inst4|inst84|$00000|auto_generated|result_node[2]~2_combout ; wire \inst|inst4|inst12|$00000|auto_generated|result_node[5]~3_combout ; wire \inst|inst4|inst10|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst8|$00000|auto_generated|result_node[5]~3_combout ; wire \inst|inst4|inst15|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst30|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst4|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst3|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst1|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst6|$00000|auto_generated|result_node[5]~3_combout ; wire \inst|inst4|inst26|$00000|auto_generated|result_node[5]~3_combout ; wire \inst|inst4|inst29|$00000|auto_generated|result_node[5]~3_combout ; wire \inst|inst4|inst24|$00000|auto_generated|result_node[5]~3_combout ; @@ -406,36 +375,47 @@ wire \inst|inst4|inst20|$00000|auto_generated|result_node[5]~3_combout ; wire \inst|inst4|inst16|$00000|auto_generated|result_node[5]~3_combout ; wire \inst|inst4|inst100|$00000|auto_generated|result_node[5]~3_combout ; wire \inst|inst4|inst98|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst97|$00000|auto_generated|result_node[5]~3_combout ; wire \inst|inst4|inst102|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst44|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst43|$00000|auto_generated|result_node[5]~3_combout ; wire \inst|inst4|inst40|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst62|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst46|$00000|auto_generated|result_node[5]~3_combout ; wire \inst|inst4|inst58|$00000|auto_generated|result_node[5]~3_combout ; wire \inst|inst4|inst60|$00000|auto_generated|result_node[5]~3_combout ; wire \inst|inst4|inst56|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst76|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst74|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst72|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst78|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst69|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst55|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst50|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst52|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst48|$00000|auto_generated|result_node[5]~3_combout ; wire \inst|inst4|inst66|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst64|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst70|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst90|$00000|auto_generated|result_node[5]~3_combout ; wire \inst|inst4|inst88|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst94|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst92|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst83|$00000|auto_generated|result_node[5]~3_combout ; wire \inst|inst4|inst80|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst86|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst84|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst97|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst12|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst10|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst8|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst3|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst4|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst6|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst26|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst24|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst18|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst44|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst43|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst34|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst58|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst55|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst76|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst74|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst78|$00000|auto_generated|result_node[1]~4_combout ; wire \inst|inst4|inst90|$00000|auto_generated|result_node[1]~4_combout ; wire \inst|inst4|inst88|$00000|auto_generated|result_node[1]~4_combout ; wire \inst|inst4|inst94|$00000|auto_generated|result_node[1]~4_combout ; wire \inst|inst4|inst92|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst83|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst80|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst86|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst84|$00000|auto_generated|result_node[1]~4_combout ; wire \inst|inst4|inst12|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst10|$00000|auto_generated|result_node[0]~5_combout ; wire \inst|inst4|inst8|$00000|auto_generated|result_node[0]~5_combout ; wire \inst|inst4|inst15|$00000|auto_generated|result_node[0]~5_combout ; wire \inst|inst4|inst4|$00000|auto_generated|result_node[0]~5_combout ; @@ -443,99 +423,67 @@ wire \inst|inst4|inst3|$00000|auto_generated|result_node[0]~5_combout ; wire \inst|inst4|inst1|$00000|auto_generated|result_node[0]~4_combout ; wire \inst|inst4|inst6|$00000|auto_generated|result_node[0]~5_combout ; wire \inst|inst4|inst30|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst26|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst29|$00000|auto_generated|result_node[0]~5_combout ; wire \inst|inst4|inst24|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst22|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst18|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst20|$00000|auto_generated|result_node[0]~5_combout ; wire \inst|inst4|inst16|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst100|$00000|auto_generated|result_node[0]~5_combout ; wire \inst|inst4|inst98|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst97|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst102|$00000|auto_generated|result_node[0]~5_combout ; wire \inst|inst4|inst36|$00000|auto_generated|result_node[0]~5_combout ; wire \inst|inst4|inst34|$00000|auto_generated|result_node[0]~5_combout ; wire \inst|inst4|inst32|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst60|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst38|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst58|$00000|auto_generated|result_node[0]~5_combout ; wire \inst|inst4|inst76|$00000|auto_generated|result_node[0]~5_combout ; wire \inst|inst4|inst74|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst78|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst69|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~155_combout ; +wire \inst|inst4|inst90|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst88|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst94|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst92|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst86|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~153_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~157_combout ; wire \inst|inst6|Mux4~0_wirecell_combout ; wire \inst|inst4|inst119|auto_generated|aneb_result_wire[0]~_wirecell_combout ; wire \inst|inst4|inst120|auto_generated|aneb_result_wire[0]~_wirecell_combout ; -wire \mode[1]~_wirecell_combout ; wire \altera_internal_jtag~TCKUTAP ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~0_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[0]~6 ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[0]~5_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1]~8 ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1]~7_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2]~10 ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2]~9_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3]~12 ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3]~11_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~13_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[1]~1_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[2]~2_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~3_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4]~regout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5]~regout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][6]~regout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~1_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3]~16_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~2_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~3_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~0_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~1_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~11_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~12_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~4_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~5_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_proc~0_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~0_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~2_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~0_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~8_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~5_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~6_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~10_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~11_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~12_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~0_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~0_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~6_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~15_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~16_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~7_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg~regout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal3~0_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~8_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~9_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~1_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~8_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~9_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~11_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~12_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~13_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~14_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~15_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~10_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~11_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~12_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~13_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~13_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~4_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~14_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~15_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~16_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~5_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~6_combout ; wire \auto_hub|~GND~combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~_wirecell_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~_wirecell_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0~combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~7 ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~9 ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~8_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~11 ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~10_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~12_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2~combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~0_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~2_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~7_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~5_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~9_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~8_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~11_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~11_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~13_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~14_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~18 ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~15_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~17_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6]~18 ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~20_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[7]~19_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0~portbdataout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 ; @@ -609,35 +557,27 @@ wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_ge wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a38 ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a37 ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a45 ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~1_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~2_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~3_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:collecting_post_data_var~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~0_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~10_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~11_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~12_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|clear_signal~combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~13_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~0_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_mode_ff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~0_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~1_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~1_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~1_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~1_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~0_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~0_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~1_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0]~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|collecting_post_data_var~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0]~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~1_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~14_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~15_combout ; @@ -646,48 +586,21 @@ wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_cou wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|Add0~0_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|Add0~1_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|regoutff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|regoutff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|regoutff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~1_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|regoutff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|regoutff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|regoutff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|regoutff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~2_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|regoutff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|regoutff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|regoutff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|regoutff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~3_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|regoutff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|regoutff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|regoutff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|regoutff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~4_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~5_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|regoutff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|regoutff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|regoutff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|regoutff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~6_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|regoutff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|regoutff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|regoutff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|regoutff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~7_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|regoutff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|regoutff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|regoutff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|regoutff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~8_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:18:sm1|regoutff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|regoutff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:16:sm1|regoutff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|regoutff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~9_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~10_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|regoutff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|regoutff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|regoutff~regout ; @@ -708,43 +621,26 @@ wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_m wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|regoutff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~14_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~15_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~16_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_mode_ff~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~0_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[1]~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[4]~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[5]~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[6]~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~1_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[2]~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~2_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][1]~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~10_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][1]~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~2_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~16_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~17_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|holdff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|holdff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|holdff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|holdff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|holdff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|holdff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|holdff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|holdff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|holdff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|holdff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|holdff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|holdff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|holdff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|holdff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|holdff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|holdff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|holdff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|holdff~regout ; @@ -769,41 +665,31 @@ wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_m wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|holdff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|holdff~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~5_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~6_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~3_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~6_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~3_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~18_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~19_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~4_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~4_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~5_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~5_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~5_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~6_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5]~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5]~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[5]~5_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~6_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~6_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~7_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][6]~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][6]~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[6]~6_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~7_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~7_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~8_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[4]~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][7]~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][7]~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[7]~7_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~8_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~8_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~9_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[5]~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8]~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][8]~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[8]~8_combout ; @@ -1127,26 +1013,10 @@ wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_m wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:18:sm1|p_match_out~1_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|p_match_out~0_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|p_match_out~1_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~1_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~1_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~1_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~1_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~1_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|p_match_out~0_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|p_match_out~1_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~1_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|p_match_out~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|p_match_out~1_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|p_match_out~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|p_match_out~1_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~1_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|p_match_out~0_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|p_match_out~1_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|p_match_out~0_combout ; @@ -1159,208 +1029,324 @@ wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_m wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|p_match_out~1_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|p_match_out~0_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|p_match_out~1_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~1_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~1_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~1_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~1_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~1_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|p_match_out~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|p_match_out~1_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|p_match_out~0_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|p_match_out~1_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|p_match_out~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|p_match_out~1_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|p_match_out~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|p_match_out~1_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~1_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~0_combout ; wire \altera_internal_jtag~TCKUTAPclkctrl_outclk ; wire \auto_signaltap_0|acq_data_in_reg[38]~feeder_combout ; -wire \auto_signaltap_0|acq_trigger_in_reg[37]~feeder_combout ; -wire \auto_signaltap_0|acq_data_in_reg[37]~feeder_combout ; -wire \auto_signaltap_0|acq_trigger_in_reg[35]~feeder_combout ; wire \auto_signaltap_0|acq_data_in_reg[36]~feeder_combout ; -wire \auto_signaltap_0|acq_data_in_reg[34]~feeder_combout ; -wire \auto_signaltap_0|acq_trigger_in_reg[34]~feeder_combout ; -wire \auto_signaltap_0|acq_data_in_reg[33]~feeder_combout ; wire \auto_signaltap_0|acq_trigger_in_reg[33]~feeder_combout ; wire \auto_signaltap_0|acq_data_in_reg[43]~feeder_combout ; wire \auto_signaltap_0|acq_data_in_reg[42]~feeder_combout ; -wire \auto_signaltap_0|acq_data_in_reg[45]~feeder_combout ; wire \auto_signaltap_0|acq_data_in_reg[41]~feeder_combout ; +wire \auto_signaltap_0|acq_data_in_reg[40]~feeder_combout ; wire \auto_signaltap_0|acq_data_in_reg[15]~feeder_combout ; wire \auto_signaltap_0|acq_trigger_in_reg[15]~feeder_combout ; -wire \auto_signaltap_0|acq_trigger_in_reg[14]~feeder_combout ; wire \auto_signaltap_0|acq_trigger_in_reg[13]~feeder_combout ; -wire \auto_signaltap_0|acq_data_in_reg[13]~feeder_combout ; wire \auto_signaltap_0|acq_data_in_reg[12]~feeder_combout ; -wire \auto_signaltap_0|acq_trigger_in_reg[12]~feeder_combout ; -wire \auto_signaltap_0|acq_data_in_reg[10]~feeder_combout ; wire \auto_signaltap_0|acq_trigger_in_reg[10]~feeder_combout ; +wire \auto_signaltap_0|acq_data_in_reg[10]~feeder_combout ; +wire \auto_signaltap_0|acq_trigger_in_reg[9]~feeder_combout ; +wire \auto_signaltap_0|acq_data_in_reg[9]~feeder_combout ; wire \auto_signaltap_0|acq_data_in_reg[28]~feeder_combout ; -wire \auto_signaltap_0|acq_trigger_in_reg[28]~feeder_combout ; -wire \auto_signaltap_0|acq_data_in_reg[25]~feeder_combout ; -wire \auto_signaltap_0|acq_trigger_in_reg[22]~feeder_combout ; +wire \auto_signaltap_0|acq_data_in_reg[23]~feeder_combout ; +wire \auto_signaltap_0|acq_data_in_reg[26]~feeder_combout ; +wire \auto_signaltap_0|acq_trigger_in_reg[25]~feeder_combout ; +wire \inst|inst4|inst5|dffs[4]~feeder_combout ; +wire \inst|inst4|inst31|dffs[4]~feeder_combout ; +wire \inst|inst4|inst27|dffs[4]~feeder_combout ; +wire \inst|inst4|inst28|dffs[4]~feeder_combout ; +wire \inst|inst4|inst25|dffs[4]~feeder_combout ; +wire \inst|inst4|inst57|dffs[4]~feeder_combout ; +wire \inst|inst4|inst53|dffs[4]~feeder_combout ; +wire \inst|inst4|inst77|dffs[4]~feeder_combout ; +wire \inst|inst4|inst75|dffs[4]~feeder_combout ; +wire \inst|inst4|inst73|dffs[4]~feeder_combout ; +wire \inst|inst4|inst79|dffs[4]~feeder_combout ; +wire \inst|inst4|inst68|dffs[4]~feeder_combout ; +wire \inst|inst4|inst67|dffs[4]~feeder_combout ; +wire \inst|inst4|inst65|dffs[4]~feeder_combout ; +wire \inst|inst4|inst71|dffs[4]~feeder_combout ; +wire \inst|inst4|inst82|dffs[4]~feeder_combout ; +wire \inst|inst4|inst81|dffs[4]~feeder_combout ; +wire \inst|inst4|inst87|dffs[4]~feeder_combout ; +wire \inst|inst4|inst85|dffs[4]~feeder_combout ; +wire \inst|inst4|inst13|dffs[3]~feeder_combout ; +wire \inst|inst4|inst11|dffs[3]~feeder_combout ; +wire \inst|inst4|inst9|dffs[3]~feeder_combout ; +wire \inst|inst4|inst5|dffs[3]~feeder_combout ; +wire \inst|inst4|inst2|dffs[3]~feeder_combout ; +wire \inst|inst4|inst|dffs[3]~feeder_combout ; +wire \inst|inst4|inst7|dffs[3]~feeder_combout ; +wire \inst|inst4|inst25|dffs[3]~feeder_combout ; +wire \inst|inst4|inst19|dffs[3]~feeder_combout ; +wire \inst|inst4|inst23|dffs[3]~feeder_combout ; +wire \inst|inst4|inst21|dffs[3]~feeder_combout ; +wire \inst|inst4|inst101|dffs[3]~feeder_combout ; +wire \inst|inst4|inst99|dffs[3]~feeder_combout ; +wire \inst|inst4|inst103|dffs[3]~feeder_combout ; +wire \inst|inst4|inst45|dffs[3]~feeder_combout ; +wire \inst|inst4|inst42|dffs[3]~feeder_combout ; +wire \inst|inst4|inst41|dffs[3]~feeder_combout ; +wire \inst|inst4|inst47|dffs[3]~feeder_combout ; +wire \inst|inst4|inst37|dffs[3]~feeder_combout ; +wire \inst|inst4|inst35|dffs[3]~feeder_combout ; +wire \inst|inst4|inst33|dffs[3]~feeder_combout ; +wire \inst|inst4|inst39|dffs[3]~feeder_combout ; +wire \inst|inst4|inst59|dffs[3]~feeder_combout ; +wire \inst|inst4|inst49|dffs[3]~feeder_combout ; +wire \inst|inst4|inst77|dffs[3]~feeder_combout ; +wire \inst|inst4|inst79|dffs[3]~feeder_combout ; +wire \inst|inst4|inst81|dffs[3]~feeder_combout ; +wire \inst|inst4|inst13|dffs[2]~feeder_combout ; +wire \inst|inst4|inst11|dffs[2]~feeder_combout ; +wire \inst|inst4|inst9|dffs[2]~feeder_combout ; +wire \inst|inst4|inst14|dffs[2]~feeder_combout ; +wire \inst|inst4|inst5|dffs[2]~feeder_combout ; +wire \inst|inst4|inst2|dffs[2]~feeder_combout ; +wire \inst|inst4|inst|dffs[2]~feeder_combout ; +wire \inst|inst4|inst7|dffs[2]~feeder_combout ; +wire \inst|inst4|inst31|dffs[2]~feeder_combout ; +wire \inst|inst4|inst27|dffs[2]~feeder_combout ; +wire \inst|inst4|inst23|dffs[2]~feeder_combout ; +wire \inst|inst4|inst19|dffs[2]~feeder_combout ; +wire \inst|inst4|inst28|dffs[2]~feeder_combout ; +wire \inst|inst4|inst25|dffs[2]~feeder_combout ; +wire \inst|inst4|inst21|dffs[2]~feeder_combout ; +wire \inst|inst4|inst17|dffs[2]~feeder_combout ; +wire \inst|inst4|inst99|dffs[2]~feeder_combout ; +wire \inst|inst4|inst96|dffs[2]~feeder_combout ; +wire \inst|inst4|inst39|dffs[2]~feeder_combout ; +wire \inst|inst4|inst61|dffs[2]~feeder_combout ; +wire \inst|inst4|inst54|dffs[2]~feeder_combout ; +wire \inst|inst4|inst53|dffs[2]~feeder_combout ; +wire \inst|inst4|inst91|dffs[2]~feeder_combout ; +wire \inst|inst4|inst89|dffs[2]~feeder_combout ; +wire \inst|inst4|inst95|dffs[2]~feeder_combout ; +wire \inst|inst4|inst93|dffs[2]~feeder_combout ; +wire \inst|inst4|inst82|dffs[2]~feeder_combout ; +wire \inst|inst4|inst81|dffs[2]~feeder_combout ; +wire \inst|inst4|inst87|dffs[2]~feeder_combout ; +wire \inst|inst4|inst85|dffs[2]~feeder_combout ; +wire \inst|inst4|inst13|dffs[5]~feeder_combout ; +wire \inst|inst4|inst11|dffs[5]~feeder_combout ; +wire \inst|inst4|inst9|dffs[5]~feeder_combout ; +wire \inst|inst4|inst14|dffs[5]~feeder_combout ; +wire \inst|inst4|inst5|dffs[5]~feeder_combout ; +wire \inst|inst4|inst2|dffs[5]~feeder_combout ; +wire \inst|inst4|inst|dffs[5]~feeder_combout ; +wire \inst|inst4|inst7|dffs[5]~feeder_combout ; +wire \inst|inst4|inst27|dffs[5]~feeder_combout ; +wire \inst|inst4|inst28|dffs[5]~feeder_combout ; +wire \inst|inst4|inst25|dffs[5]~feeder_combout ; +wire \inst|inst4|inst23|dffs[5]~feeder_combout ; +wire \inst|inst4|inst19|dffs[5]~feeder_combout ; +wire \inst|inst4|inst21|dffs[5]~feeder_combout ; +wire \inst|inst4|inst17|dffs[5]~feeder_combout ; +wire \inst|inst4|inst101|dffs[5]~feeder_combout ; +wire \inst|inst4|inst99|dffs[5]~feeder_combout ; +wire \inst|inst4|inst103|dffs[5]~feeder_combout ; +wire \inst|inst4|inst45|dffs[5]~feeder_combout ; +wire \inst|inst4|inst42|dffs[5]~feeder_combout ; +wire \inst|inst4|inst41|dffs[5]~feeder_combout ; +wire \inst|inst4|inst47|dffs[5]~feeder_combout ; +wire \inst|inst4|inst59|dffs[5]~feeder_combout ; +wire \inst|inst4|inst61|dffs[5]~feeder_combout ; +wire \inst|inst4|inst57|dffs[5]~feeder_combout ; +wire \inst|inst4|inst54|dffs[5]~feeder_combout ; +wire \inst|inst4|inst51|dffs[5]~feeder_combout ; +wire \inst|inst4|inst53|dffs[5]~feeder_combout ; +wire \inst|inst4|inst49|dffs[5]~feeder_combout ; +wire \inst|inst4|inst89|dffs[5]~feeder_combout ; +wire \inst|inst4|inst11|dffs[1]~feeder_combout ; +wire \inst|inst4|inst9|dffs[1]~feeder_combout ; +wire \inst|inst4|inst2|dffs[1]~feeder_combout ; +wire \inst|inst4|inst5|dffs[1]~feeder_combout ; +wire \inst|inst4|inst|dffs[1]~feeder_combout ; +wire \inst|inst4|inst7|dffs[1]~feeder_combout ; +wire \inst|inst4|inst27|dffs[1]~feeder_combout ; +wire \inst|inst4|inst25|dffs[1]~feeder_combout ; +wire \inst|inst4|inst19|dffs[1]~feeder_combout ; +wire \inst|inst4|inst45|dffs[1]~feeder_combout ; +wire \inst|inst4|inst42|dffs[1]~feeder_combout ; +wire \inst|inst4|inst35|dffs[1]~feeder_combout ; +wire \inst|inst4|inst77|dffs[1]~feeder_combout ; +wire \inst|inst4|inst75|dffs[1]~feeder_combout ; +wire \inst|inst4|inst79|dffs[1]~feeder_combout ; +wire \inst|inst4|inst91|dffs[1]~feeder_combout ; +wire \inst|inst4|inst89|dffs[1]~feeder_combout ; +wire \inst|inst4|inst93|dffs[1]~feeder_combout ; +wire \inst|inst4|inst82|dffs[1]~feeder_combout ; +wire \inst|inst4|inst87|dffs[1]~feeder_combout ; +wire \inst|inst4|inst85|dffs[1]~feeder_combout ; +wire \inst|inst4|inst9|dffs[0]~feeder_combout ; +wire \inst|inst4|inst14|dffs[0]~feeder_combout ; +wire \inst|inst4|inst5|dffs[0]~feeder_combout ; +wire \inst|inst4|inst2|dffs[0]~feeder_combout ; +wire \inst|inst4|inst|dffs[0]~feeder_combout ; +wire \inst|inst4|inst7|dffs[0]~feeder_combout ; +wire \inst|inst4|inst31|dffs[0]~feeder_combout ; +wire \inst|inst4|inst25|dffs[0]~feeder_combout ; +wire \inst|inst4|inst17|dffs[0]~feeder_combout ; +wire \inst|inst4|inst101|dffs[0]~feeder_combout ; +wire \inst|inst4|inst99|dffs[0]~feeder_combout ; +wire \inst|inst4|inst96|dffs[0]~feeder_combout ; +wire \inst|inst4|inst103|dffs[0]~feeder_combout ; +wire \inst|inst4|inst37|dffs[0]~feeder_combout ; +wire \inst|inst4|inst35|dffs[0]~feeder_combout ; +wire \inst|inst4|inst33|dffs[0]~feeder_combout ; +wire \inst|inst4|inst77|dffs[0]~feeder_combout ; +wire \inst|inst4|inst75|dffs[0]~feeder_combout ; +wire \inst|inst4|inst91|dffs[0]~feeder_combout ; +wire \inst|inst4|inst89|dffs[0]~feeder_combout ; +wire \inst|inst4|inst95|dffs[0]~feeder_combout ; +wire \inst|inst4|inst93|dffs[0]~feeder_combout ; +wire \inst|inst4|inst87|dffs[0]~feeder_combout ; wire \auto_signaltap_0|acq_data_in_reg[22]~feeder_combout ; -wire \auto_signaltap_0|acq_data_in_reg[20]~feeder_combout ; -wire \auto_signaltap_0|acq_trigger_in_reg[20]~feeder_combout ; -wire \auto_signaltap_0|acq_data_in_reg[16]~feeder_combout ; -wire \auto_signaltap_0|acq_trigger_in_reg[17]~feeder_combout ; +wire \auto_signaltap_0|acq_data_in_reg[18]~feeder_combout ; +wire \auto_signaltap_0|acq_trigger_in_reg[18]~feeder_combout ; wire \auto_signaltap_0|acq_data_in_reg[17]~feeder_combout ; +wire \auto_signaltap_0|acq_trigger_in_reg[17]~feeder_combout ; +wire \auto_signaltap_0|acq_data_in_reg[21]~feeder_combout ; wire \auto_signaltap_0|acq_data_in_reg[24]~feeder_combout ; -wire \auto_signaltap_0|acq_data_in_reg[11]~feeder_combout ; wire \auto_signaltap_0|acq_trigger_in_reg[11]~feeder_combout ; wire \auto_signaltap_0|acq_data_in_reg[8]~feeder_combout ; wire \auto_signaltap_0|acq_trigger_in_reg[8]~feeder_combout ; -wire \auto_signaltap_0|acq_trigger_in_reg[29]~feeder_combout ; +wire \auto_signaltap_0|acq_data_in_reg[7]~feeder_combout ; +wire \auto_signaltap_0|acq_trigger_in_reg[7]~feeder_combout ; wire \auto_signaltap_0|acq_data_in_reg[29]~feeder_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[3]~feeder_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~feeder_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4]~feeder_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5]~feeder_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][6]~feeder_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~feeder_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~feeder_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[1]~feeder_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[1]~feeder_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[2]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][5]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][7]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][6]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][8]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][9]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][10]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][11]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][15]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][17]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][19]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][18]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][21]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][23]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][29]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][34]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][36]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][37]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][38]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][39]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][40]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][41]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][42]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][43]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][44]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][45]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|holdff~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|holdff~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|holdff~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|holdff~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|holdff~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|holdff~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|holdff~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|holdff~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|holdff~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|holdff~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|holdff~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|holdff~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|holdff~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|holdff~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|holdff~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:16:sm1|holdff~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|holdff~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|holdff~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|holdff~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|holdff~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|holdff~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|holdff~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|holdff~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|holdff~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|holdff~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|holdff~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|holdff~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|holdff~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|holdff~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|holdff~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|holdff~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|holdff~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|holdff~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[0]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[1]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[2]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[3]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[4]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[5]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[6]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[1]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[4]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[6]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[6]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][1]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][10]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][11]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][11]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][13]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][13]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][14]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][14]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][0]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][1]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][0]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][2]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][3]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][1]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][2]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][4]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][5]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][4]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][3]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][6]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][5]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][7]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][5]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][8]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][6]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][9]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][7]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][10]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][9]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][11]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][9]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][12]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][10]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][13]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][12]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][11]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][13]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][14]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][13]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][16]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][15]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][14]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][17]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][18]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][17]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][19]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][18]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][19]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][17]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][20]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][18]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][21]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][20]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][22]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][21]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][20]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][23]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][21]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][24]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][23]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][25]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24]~feeder_combout ; @@ -1370,30 +1356,35 @@ wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_re wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][27]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][28]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][27]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][26]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][29]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][28]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][30]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][29]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][31]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][30]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][32]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][31]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][33]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][32]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][31]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][34]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][35]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][34]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][33]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][36]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][35]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][36]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][37]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][35]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][38]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][37]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][36]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][39]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][38]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][37]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][40]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][39]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][41]~feeder_combout ; @@ -1401,23 +1392,19 @@ wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_re wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][39]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][42]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][41]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][40]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][43]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][43]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][41]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][42]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][45]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][44]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][43]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][45]~feeder_combout ; -wire \auto_signaltap_0|acq_trigger_in_reg[5]~feeder_combout ; -wire \auto_signaltap_0|acq_data_in_reg[5]~feeder_combout ; -wire \auto_signaltap_0|acq_trigger_in_reg[4]~feeder_combout ; -wire \auto_signaltap_0|acq_trigger_in_reg[0]~feeder_combout ; -wire \auto_signaltap_0|acq_data_in_reg[0]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][45]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][44]~feeder_combout ; +wire \auto_signaltap_0|acq_data_in_reg[2]~feeder_combout ; +wire \auto_signaltap_0|acq_trigger_in_reg[2]~feeder_combout ; +wire \auto_signaltap_0|acq_trigger_in_reg[3]~feeder_combout ; +wire \auto_signaltap_0|acq_data_in_reg[3]~feeder_combout ; wire \auto_signaltap_0|acq_data_in_reg[32]~feeder_combout ; -wire \auto_signaltap_0|acq_data_in_reg[31]~feeder_combout ; -wire \auto_signaltap_0|acq_trigger_in_reg[31]~feeder_combout ; -wire \auto_signaltap_0|acq_trigger_in_reg[30]~feeder_combout ; -wire \auto_signaltap_0|acq_data_in_reg[6]~feeder_combout ; wire \inst|inst4|inst115|auto_generated|counter_comb_bita0~combout ; wire \inst|inst12|auto_generated|counter_comb_bita0~COUT ; wire \inst|inst12|auto_generated|counter_comb_bita1~combout ; @@ -1426,8 +1413,6 @@ wire \inst|inst12|auto_generated|counter_comb_bita1~COUT ; wire \inst|inst12|auto_generated|counter_comb_bita2~COUT ; wire \inst|inst12|auto_generated|counter_comb_bita3~combout ; wire \inst|inst12|auto_generated|counter_comb_bita3~COUT ; -wire \inst|inst12|auto_generated|counter_comb_bita4~combout ; -wire \inst|inst11|auto_generated|aneb_result_wire[0]~5_combout ; wire \inst|inst12|auto_generated|counter_comb_bita4~COUT ; wire \inst|inst12|auto_generated|counter_comb_bita5~combout ; wire \inst|inst12|auto_generated|counter_comb_bita5~COUT ; @@ -1460,49 +1445,15 @@ wire \inst|inst12|auto_generated|counter_comb_bita19~combout ; wire \inst|inst11|auto_generated|aneb_result_wire[0]~0_combout ; wire \inst|inst11|auto_generated|aneb_result_wire[0]~4_combout ; wire \inst|inst12|auto_generated|counter_comb_bita0~combout ; -wire \inst|inst4|inst115|auto_generated|counter_reg_bit1a[0]~6_combout ; wire \inst|inst|auto_generated|counter_comb_bita0~combout ; wire \button~combout ; +wire \inst|inst1|auto_generated|aneb_result_wire[0]~8_combout ; wire \inst|inst|auto_generated|counter_comb_bita0~COUT ; wire \inst|inst|auto_generated|counter_comb_bita1~combout ; wire \inst|inst|auto_generated|counter_comb_bita1~COUT ; wire \inst|inst|auto_generated|counter_comb_bita2~COUT ; wire \inst|inst|auto_generated|counter_comb_bita3~combout ; wire \inst|inst|auto_generated|counter_comb_bita3~COUT ; -wire \inst|inst|auto_generated|counter_comb_bita4~combout ; -wire \inst|inst1|auto_generated|aneb_result_wire[0]~5_combout ; -wire \inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ; -wire \inst|inst4|inst109~combout ; -wire \inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1_combout ; -wire \inst|inst4|inst108~combout ; -wire \inst|inst4|inst115|auto_generated|counter_comb_bita0~COUT ; -wire \inst|inst4|inst115|auto_generated|counter_comb_bita1~combout ; -wire \inst|inst4|inst115|auto_generated|counter_reg_bit1a[1]~5_combout ; -wire \inst|inst4|inst115|auto_generated|counter_comb_bita1~COUT ; -wire \inst|inst4|inst115|auto_generated|counter_comb_bita2~combout ; -wire \inst|inst4|inst115|auto_generated|counter_reg_bit1a[2]~3_combout ; -wire \inst|inst4|inst115|auto_generated|counter_comb_bita2~COUT ; -wire \inst|inst4|inst115|auto_generated|counter_comb_bita3~combout ; -wire \inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~4_combout ; -wire \inst|inst4|inst115|auto_generated|counter_comb_bita3~COUT ; -wire \inst|inst4|inst115|auto_generated|counter_comb_bita4~combout ; -wire \inst|inst4|inst115|auto_generated|counter_reg_bit1a[4]~2_combout ; -wire \inst|inst4|inst115|auto_generated|counter_comb_bita4~COUT ; -wire \inst|inst4|inst115|auto_generated|counter_comb_bita5~combout ; -wire \inst|inst4|inst115|auto_generated|counter_reg_bit1a[5]~0_combout ; -wire \inst|inst4|inst122~0_combout ; -wire \inst|inst4|inst124|inst~2_combout ; -wire \inst|inst4|inst115|auto_generated|_~0_combout ; -wire \inst|inst4|inst119|auto_generated|aneb_result_wire[0]~0_combout ; -wire \inst|inst4|inst8|$00000|auto_generated|result_node[5]~3_combout ; -wire \~GND~combout ; -wire \inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ; -wire \clk~combout ; -wire \clk~clkctrl_outclk ; -wire \inst|inst4|inst124|inst4~combout ; -wire \inst|inst4|inst1|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst124|inst~combout ; -wire \inst|inst4|inst3|$00000|auto_generated|result_node[5]~3_combout ; wire \inst|inst|auto_generated|counter_comb_bita4~COUT ; wire \inst|inst|auto_generated|counter_comb_bita5~combout ; wire \inst|inst|auto_generated|counter_comb_bita5~COUT ; @@ -1518,9 +1469,9 @@ wire \inst|inst|auto_generated|counter_comb_bita10~COUT ; wire \inst|inst|auto_generated|counter_comb_bita11~combout ; wire \inst|inst|auto_generated|counter_comb_bita11~COUT ; wire \inst|inst|auto_generated|counter_comb_bita12~combout ; -wire \inst|inst1|auto_generated|aneb_result_wire[0]~2_combout ; +wire \inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ; wire \inst|inst|auto_generated|counter_comb_bita6~combout ; -wire \inst|inst1|auto_generated|aneb_result_wire[0]~3_combout ; +wire \inst|inst1|auto_generated|aneb_result_wire[0]~5_combout ; wire \inst|inst|auto_generated|counter_comb_bita12~COUT ; wire \inst|inst|auto_generated|counter_comb_bita13~COUT ; wire \inst|inst|auto_generated|counter_comb_bita14~combout ; @@ -1532,220 +1483,383 @@ wire \inst|inst|auto_generated|counter_comb_bita17~COUT ; wire \inst|inst|auto_generated|counter_comb_bita18~combout ; wire \inst|inst|auto_generated|counter_comb_bita18~COUT ; wire \inst|inst|auto_generated|counter_comb_bita19~combout ; -wire \inst|inst1|auto_generated|aneb_result_wire[0]~0_combout ; -wire \inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ; -wire \inst|inst4|inst124|inst1~combout ; -wire \inst|inst4|inst4|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst124|inst2~combout ; -wire \inst|inst4|inst6|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst124|inst3~combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~85_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~86_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~52_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~59_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~98_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~95_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~105_combout ; +wire \inst|inst1|auto_generated|aneb_result_wire[0]~2_combout ; +wire \inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ; +wire \inst|inst4|inst109~combout ; +wire \inst|inst4|inst115|auto_generated|counter_reg_bit1a[0]~6_combout ; +wire \inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1_combout ; +wire \inst|inst4|inst107~combout ; +wire \inst|inst4|inst115|auto_generated|counter_comb_bita0~COUT ; +wire \inst|inst4|inst115|auto_generated|counter_comb_bita1~combout ; +wire \inst|inst4|inst115|auto_generated|counter_reg_bit1a[1]~5_combout ; +wire \inst|inst4|inst115|auto_generated|counter_comb_bita1~COUT ; +wire \inst|inst4|inst115|auto_generated|counter_comb_bita2~combout ; +wire \inst|inst4|inst115|auto_generated|counter_reg_bit1a[2]~3_combout ; +wire \inst|inst4|inst115|auto_generated|counter_comb_bita2~COUT ; +wire \inst|inst4|inst115|auto_generated|counter_comb_bita3~COUT ; +wire \inst|inst4|inst115|auto_generated|counter_comb_bita4~combout ; +wire \inst|inst4|inst115|auto_generated|counter_reg_bit1a[4]~2_combout ; +wire \inst|inst4|inst115|auto_generated|counter_comb_bita4~COUT ; +wire \inst|inst4|inst115|auto_generated|counter_comb_bita5~combout ; +wire \inst|inst4|inst115|auto_generated|counter_reg_bit1a[5]~0_combout ; +wire \inst|inst4|inst122~0_combout ; +wire \inst|inst4|inst115|auto_generated|_~1_combout ; +wire \inst|inst4|inst119|auto_generated|aneb_result_wire[0]~0_combout ; +wire \inst|inst4|inst108~0_combout ; +wire \inst|inst4|inst30|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst31|dffs[5]~feeder_combout ; +wire \~GND~combout ; +wire \inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ; +wire \clk~combout ; +wire \clk~clkctrl_outclk ; +wire \inst|inst4|inst124|inst84~0_combout ; +wire \inst|inst4|inst124|inst91~0_combout ; wire \inst|inst4|inst32|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst124|inst16~combout ; +wire \inst|inst4|inst33|dffs[5]~feeder_combout ; +wire \inst|inst4|inst107~0_combout ; +wire \inst|inst4|inst124|inst90~0_combout ; wire \inst|inst4|inst34|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst124|inst17~combout ; +wire \inst|inst4|inst35|dffs[5]~feeder_combout ; +wire \inst|inst4|inst124|inst89~0_combout ; wire \inst|inst4|inst36|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst124|inst18~combout ; +wire \inst|inst4|inst37|dffs[5]~feeder_combout ; +wire \inst|inst4|inst124|inst88~0_combout ; wire \inst|inst4|inst38|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst124|inst19~combout ; +wire \inst|inst4|inst39|dffs[5]~feeder_combout ; +wire \inst|inst4|inst124|inst87~0_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~91_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~92_combout ; -wire \inst|inst4|inst55|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst124|inst27~combout ; -wire \inst|inst4|inst52|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst124|inst26~combout ; -wire \inst|inst4|inst50|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst124|inst25~combout ; -wire \inst|inst4|inst48|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst124|inst24~combout ; -wire \inst|inst4|inst43|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst124|inst21~combout ; -wire \inst|inst4|inst44|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst124|inst22~combout ; -wire \inst|inst4|inst46|$00000|auto_generated|result_node[5]~3_combout ; -wire \inst|inst4|inst124|inst23~combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~90_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~60_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~67_combout ; +wire \inst|inst4|inst67|dffs[5]~feeder_combout ; +wire \inst|inst4|inst124|inst73~0_combout ; +wire \inst|inst4|inst62|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst63|dffs[5]~feeder_combout ; +wire \inst|inst4|inst124|inst75~0_combout ; +wire \inst|inst4|inst64|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst65|dffs[5]~feeder_combout ; +wire \inst|inst4|inst124|inst74~0_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~96_combout ; +wire \inst|inst4|inst81|dffs[5]~feeder_combout ; +wire \inst|inst4|inst124|inst66~0_combout ; +wire \inst|inst4|inst78|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst79|dffs[5]~feeder_combout ; +wire \inst|inst4|inst124|inst67~0_combout ; +wire \inst|inst4|inst76|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst77|dffs[5]~feeder_combout ; +wire \inst|inst4|inst124|inst68~0_combout ; +wire \inst|inst4|inst74|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst75|dffs[5]~feeder_combout ; +wire \inst|inst4|inst124|inst69~0_combout ; +wire \inst|inst4|inst72|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst73|dffs[5]~feeder_combout ; +wire \inst|inst4|inst124|inst70~0_combout ; +wire \inst|inst4|inst70|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst71|dffs[5]~feeder_combout ; +wire \inst|inst4|inst124|inst71~0_combout ; +wire \inst|inst4|inst69|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst68|dffs[5]~feeder_combout ; +wire \inst|inst4|inst124|inst72~0_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~97_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~98_combout ; +wire \inst|inst4|inst97|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst96|dffs[5]~feeder_combout ; +wire \inst|inst4|inst124|inst58~0_combout ; +wire \inst|inst4|inst90|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst91|dffs[5]~feeder_combout ; +wire \inst|inst4|inst124|inst61~0_combout ; +wire \inst|inst4|inst92|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst93|dffs[5]~feeder_combout ; +wire \inst|inst4|inst124|inst60~0_combout ; +wire \inst|inst4|inst94|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst95|dffs[5]~feeder_combout ; +wire \inst|inst4|inst124|inst59~0_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~100_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~101_combout ; +wire \inst|inst4|inst86|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst87|dffs[5]~feeder_combout ; +wire \inst|inst4|inst124|inst63~0_combout ; +wire \inst|inst4|inst84|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst85|dffs[5]~feeder_combout ; +wire \inst|inst4|inst124|inst64~0_combout ; +wire \inst|inst4|inst83|$00000|auto_generated|result_node[5]~3_combout ; +wire \inst|inst4|inst82|dffs[5]~feeder_combout ; +wire \inst|inst4|inst124|inst65~0_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~102_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~104_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~105_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~155_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ; -wire \inst|inst4|inst38|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst41|dffs[4]~feeder_combout ; +wire \inst|inst4|inst124|inst86~0_combout ; +wire \inst|inst4|inst38|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst39|dffs[4]~feeder_combout ; +wire \inst|inst4|inst36|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst37|dffs[4]~feeder_combout ; +wire \inst|inst4|inst33|dffs[4]~feeder_combout ; +wire \inst|inst4|inst34|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst35|dffs[4]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~21_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~22_combout ; +wire \inst|inst4|inst43|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst42|dffs[4]~feeder_combout ; +wire \inst|inst4|inst124|inst85~0_combout ; +wire \inst|inst4|inst44|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst45|dffs[4]~feeder_combout ; +wire \inst|inst4|inst124|inst84~1_combout ; +wire \inst|inst4|inst51|dffs[4]~feeder_combout ; +wire \inst|inst4|inst124|inst81~0_combout ; +wire \inst|inst4|inst48|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst49|dffs[4]~feeder_combout ; +wire \inst|inst4|inst124|inst82~0_combout ; +wire \inst|inst4|inst46|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst47|dffs[4]~feeder_combout ; +wire \inst|inst4|inst124|inst83~0_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~20_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~9_combout ; +wire \inst|inst4|inst54|dffs[4]~feeder_combout ; +wire \inst|inst4|inst124|inst79~0_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~14_combout ; +wire \inst|inst4|inst58|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst59|dffs[4]~feeder_combout ; +wire \inst|inst4|inst124|inst77~0_combout ; +wire \inst|inst4|inst62|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst63|dffs[4]~feeder_combout ; +wire \inst|inst4|inst60|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst61|dffs[4]~feeder_combout ; +wire \inst|inst4|inst124|inst76~0_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~11_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~12_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~15_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~16_combout ; +wire \inst|inst4|inst103|dffs[4]~feeder_combout ; +wire \inst|inst4|inst124|inst55~0_combout ; +wire \inst|inst4|inst88|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst89|dffs[4]~feeder_combout ; +wire \inst|inst4|inst124|inst62~0_combout ; +wire \inst|inst4|inst90|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst91|dffs[4]~feeder_combout ; +wire \inst|inst4|inst92|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst93|dffs[4]~feeder_combout ; +wire \inst|inst4|inst94|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst95|dffs[4]~feeder_combout ; +wire \inst|inst4|inst97|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst96|dffs[4]~feeder_combout ; +wire \inst|inst4|inst98|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst99|dffs[4]~feeder_combout ; +wire \inst|inst4|inst124|inst57~0_combout ; +wire \inst|inst4|inst100|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst101|dffs[4]~feeder_combout ; +wire \inst|inst4|inst124|inst56~0_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~18_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~152_combout ; +wire \inst|inst4|inst22|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst23|dffs[4]~feeder_combout ; +wire \inst|inst4|inst124|inst40~combout ; +wire \inst|inst4|inst20|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst21|dffs[4]~feeder_combout ; +wire \inst|inst4|inst124|inst41~combout ; +wire \inst|inst4|inst18|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst19|dffs[4]~feeder_combout ; +wire \inst|inst4|inst124|inst42~combout ; +wire \inst|inst4|inst16|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst17|dffs[4]~feeder_combout ; +wire \inst|inst4|inst124|inst43~combout ; +wire \inst|inst4|inst15|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst14|dffs[4]~feeder_combout ; +wire \inst|inst4|inst124|inst44~combout ; +wire \inst|inst4|inst12|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst13|dffs[4]~feeder_combout ; +wire \inst|inst4|inst124|inst45~combout ; +wire \inst|inst4|inst10|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst11|dffs[4]~feeder_combout ; +wire \inst|inst4|inst124|inst46~combout ; +wire \inst|inst4|inst7|dffs[4]~feeder_combout ; +wire \inst|inst4|inst124|inst48~combout ; +wire \inst|inst4|inst8|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst9|dffs[4]~feeder_combout ; +wire \inst|inst4|inst124|inst47~combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~12_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~13_combout ; +wire \inst|inst4|inst2|dffs[4]~feeder_combout ; +wire \inst|inst4|inst124|inst50~combout ; +wire \inst|inst4|inst1|$00000|auto_generated|result_node[4]~0_combout ; +wire \inst|inst4|inst|dffs[4]~feeder_combout ; +wire \inst|inst4|inst124|inst51~combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~14_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~15_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~1_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~6_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~5_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~7_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~8_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ; +wire \mode_segment~combout ; +wire \inst|inst4|inst56|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst57|dffs[3]~feeder_combout ; +wire \inst|inst4|inst124|inst78~0_combout ; +wire \inst|inst4|inst55|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst54|dffs[3]~feeder_combout ; +wire \inst|inst4|inst52|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst53|dffs[3]~feeder_combout ; +wire \inst|inst4|inst124|inst80~0_combout ; +wire \inst|inst4|inst50|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst51|dffs[3]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~30_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~27_combout ; +wire \inst|inst4|inst60|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst61|dffs[3]~feeder_combout ; +wire \inst|inst4|inst62|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst63|dffs[3]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~28_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~29_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~31_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~32_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~33_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout ; +wire \inst|inst4|inst30|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst31|dffs[3]~feeder_combout ; +wire \inst|inst4|inst29|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst28|dffs[3]~feeder_combout ; +wire \inst|inst4|inst124|inst92~0_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~22_combout ; +wire \inst|inst4|inst14|dffs[3]~feeder_combout ; +wire \inst|inst4|inst16|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst17|dffs[3]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~20_combout ; +wire \inst|inst4|inst26|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst27|dffs[3]~feeder_combout ; +wire \inst|inst4|inst124|inst93~0_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~19_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~21_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~24_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~25_combout ; +wire \inst|inst4|inst74|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst75|dffs[3]~feeder_combout ; +wire \inst|inst4|inst72|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst73|dffs[3]~feeder_combout ; +wire \inst|inst4|inst70|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst71|dffs[3]~feeder_combout ; +wire \inst|inst4|inst69|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst68|dffs[3]~feeder_combout ; +wire \inst|inst4|inst66|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst67|dffs[3]~feeder_combout ; +wire \inst|inst4|inst64|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst65|dffs[3]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~50_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~51_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~52_combout ; +wire \inst|inst4|inst83|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst82|dffs[3]~feeder_combout ; +wire \inst|inst4|inst86|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst87|dffs[3]~feeder_combout ; +wire \inst|inst4|inst84|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst85|dffs[3]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~57_combout ; +wire \inst|inst4|inst88|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst89|dffs[3]~feeder_combout ; +wire \inst|inst4|inst90|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst91|dffs[3]~feeder_combout ; +wire \inst|inst4|inst97|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst96|dffs[3]~feeder_combout ; +wire \inst|inst4|inst94|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst95|dffs[3]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~53_combout ; +wire \inst|inst4|inst92|$00000|auto_generated|result_node[3]~1_combout ; +wire \inst|inst4|inst93|dffs[3]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~54_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~55_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~58_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~59_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout ; +wire \inst|inst5|inst|inst5|inst2~0_combout ; +wire \inst|inst4|inst18|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst19|dffs[0]~feeder_combout ; +wire \inst|inst4|inst22|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst23|dffs[0]~feeder_combout ; +wire \inst|inst4|inst20|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst21|dffs[0]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~91_combout ; +wire \inst|inst4|inst26|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst27|dffs[0]~feeder_combout ; +wire \inst|inst4|inst29|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst28|dffs[0]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~88_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~89_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~92_combout ; +wire \inst|inst4|inst13|dffs[0]~feeder_combout ; +wire \inst|inst4|inst10|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst11|dffs[0]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~129_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~130_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~86_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~93_combout ; +wire \inst|inst4|inst39|dffs[0]~feeder_combout ; wire \inst|inst4|inst40|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst124|inst20~combout ; +wire \inst|inst4|inst41|dffs[0]~feeder_combout ; +wire \inst|inst4|inst43|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst42|dffs[0]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~135_combout ; +wire \inst|inst4|inst59|dffs[0]~feeder_combout ; wire \inst|inst4|inst56|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst124|inst28~combout ; +wire \inst|inst4|inst57|dffs[0]~feeder_combout ; wire \inst|inst4|inst55|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst54|dffs[0]~feeder_combout ; wire \inst|inst4|inst52|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst53|dffs[0]~feeder_combout ; wire \inst|inst4|inst50|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst51|dffs[0]~feeder_combout ; wire \inst|inst4|inst48|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst49|dffs[0]~feeder_combout ; wire \inst|inst4|inst46|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst47|dffs[0]~feeder_combout ; wire \inst|inst4|inst44|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst43|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~135_combout ; +wire \inst|inst4|inst45|dffs[0]~feeder_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~136_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~94_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~99_combout ; -wire \inst|inst4|inst64|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst124|inst32~combout ; -wire \inst|inst4|inst62|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst124|inst31~combout ; +wire \inst|inst4|inst60|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst61|dffs[0]~feeder_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~96_combout ; -wire \inst|inst4|inst58|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst124|inst29~combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~95_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~97_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~98_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~100_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~101_combout ; -wire \inst|inst4|inst80|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst124|inst40~combout ; -wire \inst|inst4|inst83|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst124|inst41~combout ; -wire \inst|inst4|inst84|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst124|inst42~combout ; -wire \inst|inst4|inst86|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst124|inst43~combout ; -wire \inst|inst4|inst88|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst124|inst44~combout ; -wire \inst|inst4|inst90|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst124|inst45~combout ; -wire \inst|inst4|inst92|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst124|inst46~combout ; -wire \inst|inst4|inst94|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst124|inst47~combout ; -wire \inst|inst4|inst97|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst124|inst48~combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~133_combout ; -wire \inst|inst4|inst102|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst124|inst51~combout ; -wire \inst|inst4|inst100|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst124|inst50~combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~134_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~157_combout ; +wire \inst|inst4|inst62|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst63|dffs[0]~feeder_combout ; +wire \inst|inst4|inst64|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst65|dffs[0]~feeder_combout ; wire \inst|inst4|inst66|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst124|inst33~combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~142_combout ; -wire \inst|inst4|inst72|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst124|inst36~combout ; +wire \inst|inst4|inst67|dffs[0]~feeder_combout ; +wire \inst|inst4|inst69|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst68|dffs[0]~feeder_combout ; wire \inst|inst4|inst70|$00000|auto_generated|result_node[0]~5_combout ; -wire \inst|inst4|inst124|inst35~combout ; +wire \inst|inst4|inst71|dffs[0]~feeder_combout ; +wire \inst|inst4|inst72|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst73|dffs[0]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~139_combout ; +wire \inst|inst4|inst80|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst81|dffs[0]~feeder_combout ; +wire \inst|inst4|inst78|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst79|dffs[0]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~140_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~141_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~142_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~143_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~144_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~148_combout ; +wire \inst|inst4|inst83|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst82|dffs[0]~feeder_combout ; +wire \inst|inst4|inst84|$00000|auto_generated|result_node[0]~5_combout ; +wire \inst|inst4|inst85|dffs[0]~feeder_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~149_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~148_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~150_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~151_combout ; wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~152_combout ; -wire \inst|inst4|inst80|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst78|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst124|inst39~combout ; -wire \inst|inst4|inst76|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst124|inst38~combout ; -wire \inst|inst4|inst66|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst69|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst124|inst34~combout ; -wire \inst|inst4|inst70|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst72|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst74|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst124|inst37~combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~23_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~24_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~25_combout ; -wire \inst|inst4|inst86|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst84|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~33_combout ; -wire \inst|inst4|inst83|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~32_combout ; -wire \inst|inst4|inst88|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst90|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst92|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~30_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~31_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~34_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~36_combout ; -wire \inst|inst4|inst34|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst32|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst30|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst124|inst15~combout ; -wire \inst|inst4|inst29|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst124|inst14~combout ; -wire \inst|inst4|inst8|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst10|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst124|inst5~combout ; -wire \inst|inst4|inst12|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst124|inst6~combout ; -wire \inst|inst4|inst15|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst124|inst7~combout ; -wire \inst|inst4|inst16|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst124|inst8~combout ; -wire \inst|inst4|inst18|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst124|inst9~combout ; -wire \inst|inst4|inst20|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst124|inst10~combout ; -wire \inst|inst4|inst22|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst124|inst11~combout ; -wire \inst|inst4|inst24|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst124|inst12~combout ; -wire \inst|inst4|inst26|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst124|inst13~combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~2_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~4_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~6_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~7_combout ; -wire \inst|inst4|inst6|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst4|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst3|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst1|$00000|auto_generated|result_node[4]~0_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~14_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~15_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~12_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~13_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~1_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~8_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ; wire \inst|inst5|inst|inst5|inst2~1_combout ; -wire \inst|inst5|inst|inst5|inst2~0_combout ; -wire \inst|inst4|inst88|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst90|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst92|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~77_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~78_combout ; -wire \inst|inst4|inst86|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~80_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~81_combout ; -wire \inst|inst4|inst70|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst72|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst74|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~70_combout ; -wire \inst|inst4|inst80|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst78|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~71_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~72_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~82_combout ; -wire \inst|inst4|inst60|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst124|inst30~combout ; -wire \inst|inst4|inst58|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst56|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst55|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst48|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst50|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst52|$00000|auto_generated|result_node[2]~2_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~48_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~47_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~49_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~50_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~154_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout ; wire \inst|inst5|inst|inst5|inst2~2_combout ; -wire \mode_segment~combout ; wire \inst|inst6|Mux0~0_combout ; wire \inst|inst6|Mux1~0_combout ; wire \inst|inst6|Mux2~0_combout ; @@ -1753,116 +1867,83 @@ wire \inst|inst6|Mux3~0_combout ; wire \inst|inst6|Mux4~0_combout ; wire \inst|inst6|Mux5~0_combout ; wire \inst|inst6|Mux6~0_combout ; -wire \inst|inst5|inst|inst7|inst3~combout ; -wire \inst|inst4|inst98|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst124|inst49~combout ; -wire \inst|inst4|inst100|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst102|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~111_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~156_combout ; -wire \inst|inst4|inst69|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst70|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst66|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst64|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~119_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~120_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~121_combout ; -wire \inst|inst4|inst72|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst74|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst76|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst78|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst80|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst83|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~125_combout ; -wire \inst|inst4|inst84|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst86|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~126_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~127_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~118_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~128_combout ; -wire \inst|inst4|inst40|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst43|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst62|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst60|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst58|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst56|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst55|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst52|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst50|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst48|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst46|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst44|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~112_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~113_combout ; -wire \inst|inst4|inst38|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst36|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst3|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst4|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst6|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst8|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst10|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst12|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst15|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst16|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst18|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst20|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst22|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst24|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst26|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst29|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst30|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst32|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst34|$00000|auto_generated|result_node[1]~4_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~114_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~115_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~77_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~84_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout ; wire \inst|inst5|inst5|inst|inst2~0_combout ; +wire \inst|inst5|inst|inst7|inst3~combout ; wire \inst|inst5|inst5|inst1|inst3~combout ; -wire \inst|inst5|inst5|inst2|inst3~combout ; wire \inst|inst5|inst5|inst|inst~combout ; -wire \inst|inst7|Mux0~3_combout ; +wire \inst|inst5|inst5|inst2|inst~combout ; +wire \inst|inst5|inst5|inst2|inst3~combout ; wire \inst|inst7|Mux0~2_combout ; -wire \inst|inst4|inst58|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst56|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst55|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst52|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst50|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst48|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst46|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst44|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~44_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~26_combout ; -wire \inst|inst4|inst60|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst62|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~28_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~29_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~30_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~32_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~33_combout ; -wire \inst|inst4|inst102|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst98|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst100|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~42_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~153_combout ; -wire \inst|inst4|inst8|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst6|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst4|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst3|$00000|auto_generated|result_node[3]~1_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~39_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~40_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~18_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~25_combout ; -wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout ; +wire \inst|inst7|Mux0~3_combout ; +wire \inst|inst4|inst101|dffs[2]~feeder_combout ; +wire \inst|inst4|inst102|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst103|dffs[2]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~65_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~154_combout ; +wire \inst|inst4|inst40|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst41|dffs[2]~feeder_combout ; +wire \inst|inst4|inst43|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst42|dffs[2]~feeder_combout ; +wire \inst|inst4|inst51|dffs[2]~feeder_combout ; +wire \inst|inst4|inst48|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst49|dffs[2]~feeder_combout ; +wire \inst|inst4|inst46|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst47|dffs[2]~feeder_combout ; +wire \inst|inst4|inst44|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst45|dffs[2]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~66_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~67_combout ; +wire \inst|inst4|inst32|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst33|dffs[2]~feeder_combout ; +wire \inst|inst4|inst36|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst37|dffs[2]~feeder_combout ; +wire \inst|inst4|inst34|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst35|dffs[2]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~68_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~69_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~43_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~47_combout ; +wire \inst|inst4|inst58|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst59|dffs[2]~feeder_combout ; +wire \inst|inst4|inst56|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst57|dffs[2]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~44_combout ; +wire \inst|inst4|inst65|dffs[2]~feeder_combout ; +wire \inst|inst4|inst62|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst63|dffs[2]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~45_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~46_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~49_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~50_combout ; +wire \inst|inst4|inst66|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst67|dffs[2]~feeder_combout ; +wire \inst|inst4|inst69|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst68|dffs[2]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~73_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~74_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~75_combout ; +wire \inst|inst4|inst71|dffs[2]~feeder_combout ; +wire \inst|inst4|inst72|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst73|dffs[2]~feeder_combout ; +wire \inst|inst4|inst78|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst79|dffs[2]~feeder_combout ; +wire \inst|inst4|inst76|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst77|dffs[2]~feeder_combout ; +wire \inst|inst4|inst74|$00000|auto_generated|result_node[2]~2_combout ; +wire \inst|inst4|inst75|dffs[2]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~70_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~71_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~72_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~82_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout ; wire \inst|inst5|inst5|inst3|inst3~0_combout ; wire \inst|inst5|inst5|inst3|inst3~3_combout ; wire \inst|inst7|Mux0~4_combout ; wire \inst|inst5|inst5|inst3|inst3~4_combout ; wire \inst|inst7|Mux1~0_combout ; wire \inst|inst7|Mux1~1_combout ; -wire \inst|inst7|Mux2~3_combout ; wire \inst|inst7|Mux2~2_combout ; +wire \inst|inst7|Mux2~3_combout ; wire \inst|inst7|Mux2~4_combout ; wire \inst|inst7|Mux3~1_combout ; wire \inst|inst7|Mux3~0_combout ; @@ -1871,12 +1952,97 @@ wire \inst|inst7|Mux4~2_combout ; wire \inst|inst7|Mux4~3_combout ; wire \inst|inst7|Mux5~0_combout ; wire \inst|inst7|Mux5~1_combout ; -wire \inst|inst7|Mux6~2_combout ; wire \inst|inst7|Mux6~3_combout ; +wire \inst|inst7|Mux6~2_combout ; wire \inst|inst7|Mux6~4_combout ; wire \inst|inst8|Mux1~0_combout ; -wire \inst|inst4|inst107~0_combout ; -wire \inst|inst4|inst108~0_combout ; +wire \inst|inst4|inst115|auto_generated|counter_comb_bita3~combout ; +wire \inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~4_combout ; +wire \inst|inst4|inst36|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst37|dffs[1]~feeder_combout ; +wire \inst|inst4|inst40|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst41|dffs[1]~feeder_combout ; +wire \inst|inst4|inst38|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst39|dffs[1]~feeder_combout ; +wire \inst|inst4|inst30|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst31|dffs[1]~feeder_combout ; +wire \inst|inst4|inst32|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst33|dffs[1]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~114_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~115_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~77_combout ; +wire \inst|inst4|inst54|dffs[1]~feeder_combout ; +wire \inst|inst4|inst59|dffs[1]~feeder_combout ; +wire \inst|inst4|inst56|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst57|dffs[1]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~78_combout ; +wire \inst|inst4|inst60|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst61|dffs[1]~feeder_combout ; +wire \inst|inst4|inst64|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst65|dffs[1]~feeder_combout ; +wire \inst|inst4|inst62|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst63|dffs[1]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~79_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~80_combout ; +wire \inst|inst4|inst46|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst47|dffs[1]~feeder_combout ; +wire \inst|inst4|inst48|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst49|dffs[1]~feeder_combout ; +wire \inst|inst4|inst50|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst51|dffs[1]~feeder_combout ; +wire \inst|inst4|inst52|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst53|dffs[1]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~82_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~83_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~84_combout ; +wire \inst|inst4|inst102|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst103|dffs[1]~feeder_combout ; +wire \inst|inst4|inst100|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst101|dffs[1]~feeder_combout ; +wire \inst|inst4|inst95|dffs[1]~feeder_combout ; +wire \inst|inst4|inst97|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst96|dffs[1]~feeder_combout ; +wire \inst|inst4|inst98|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst99|dffs[1]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~110_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~111_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~156_combout ; +wire \inst|inst4|inst22|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst23|dffs[1]~feeder_combout ; +wire \inst|inst4|inst20|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst21|dffs[1]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~74_combout ; +wire \inst|inst4|inst29|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst28|dffs[1]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~71_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~72_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~75_combout ; +wire \inst|inst4|inst16|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst17|dffs[1]~feeder_combout ; +wire \inst|inst4|inst13|dffs[1]~feeder_combout ; +wire \inst|inst4|inst15|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst14|dffs[1]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~107_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~69_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~76_combout ; +wire \inst|inst4|inst81|dffs[1]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~125_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~123_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~124_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~127_combout ; +wire \inst|inst4|inst66|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst67|dffs[1]~feeder_combout ; +wire \inst|inst4|inst72|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst73|dffs[1]~feeder_combout ; +wire \inst|inst4|inst70|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst71|dffs[1]~feeder_combout ; +wire \inst|inst4|inst69|$00000|auto_generated|result_node[1]~4_combout ; +wire \inst|inst4|inst68|dffs[1]~feeder_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~119_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~120_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~121_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~128_combout ; +wire \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout ; wire \altera_reserved_tms~combout ; wire \altera_reserved_tck~combout ; wire \altera_reserved_tdi~combout ; @@ -1885,304 +2051,455 @@ wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~1_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~8_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~9_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~10_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~11_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~12_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_dr_scan_proc~0_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_proc~0_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~1_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~2_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~3_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~4_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~5_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~6_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~7_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~4_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_proc~0_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~2_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~3_combout ; wire \altera_internal_jtag~TDIUTAP ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg~0_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg~regout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~4_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~3_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~0_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~2_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~1_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~0_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~1_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~2_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~0_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~0_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl_outclk ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[8]~feeder_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[7]~feeder_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~0_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[5]~feeder_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[4]~feeder_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[2]~0_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[1]~feeder_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[0]~1_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~1_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal1~0_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg_proc~0_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg~regout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][1]~regout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~5_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[2]~1_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal3~0_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~4_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~1_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg_proc~0_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~regout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[0]~3_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~7_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~8_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~9_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2]~feeder_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2]~regout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~3_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~feeder_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~regout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[2]~1_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~5_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~0_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~5_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~6_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg_proc~0_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~regout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~9_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1]~12 ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2]~14_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~2_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_dr_scan_reg~regout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|clear_signal~combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~20_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2]~15 ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3]~17 ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[4]~18_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~10_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~13_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~10 ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1]~11_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~4_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~6_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~11_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~14_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~15_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0]~16_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~12_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~9_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~7_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~0_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg~0_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg~regout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][3]~feeder_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][3]~regout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][6]~regout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~12_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5]~feeder_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5]~regout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~11_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~10_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4]~regout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~13_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~feeder_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~combout ; -wire \auto_signaltap_0|~GND~combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~COUT ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit1a[0]~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0~COUT ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1~combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1~COUT ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2~COUT ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~COUT ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2~combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[3]~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr[0]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[137]~feeder_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~feeder_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~0_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[137]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[136]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[134]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[133]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[132]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[131]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[129]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[128]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[127]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[126]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[125]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[124]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[123]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[122]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[121]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[119]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[120]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[118]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[117]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[116]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[115]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[114]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[113]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[111]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[110]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[108]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[107]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[105]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[104]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[102]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[101]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[99]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[98]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[96]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[95]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[93]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[92]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[90]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[87]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[89]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[86]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[83]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[81]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[72]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[77]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[71]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[68]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[66]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[63]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[62]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[60]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[59]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[57]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[56]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[54]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[53]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[51]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[50]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[48]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[47]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[45]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[44]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[42]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[41]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[39]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[38]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[35]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[33]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[32]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[30]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[29]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[27]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[26]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[23]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[15]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[20]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[17]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[14]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[12]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[11]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[8]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[6]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[5]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[0]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[2]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[8]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[7]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[6]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[5]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[4]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[3]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[2]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[1]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|p_match_out~1_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|regoutff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~1_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|regoutff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|holdff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~1_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|regoutff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~2_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|p_match_out~1_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|regoutff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~1_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|regoutff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|holdff~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|holdff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|p_match_out~1_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|regoutff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~1_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|holdff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~1_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|regoutff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|holdff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~1_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|regoutff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~1_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|regoutff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~3_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~5_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~1_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|regoutff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|holdff~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|holdff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~1_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|regoutff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~1_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|regoutff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~7_combout ; +wire \auto_signaltap_0|acq_trigger_in_reg[21]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~1_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|regoutff~regout ; +wire \auto_signaltap_0|acq_trigger_in_reg[22]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~1_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|regoutff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~1_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|regoutff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~8_combout ; +wire \auto_signaltap_0|acq_trigger_in_reg[28]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|holdff~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|holdff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|p_match_out~1_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|regoutff~regout ; +wire \auto_signaltap_0|acq_trigger_in_reg[27]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|p_match_out~1_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|regoutff~regout ; +wire \mode[1]~_wirecell_combout ; +wire \auto_signaltap_0|acq_trigger_in_reg[29]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~1_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|regoutff~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~6_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~10_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~16_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter~1_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[2]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[2]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[1]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~1 ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~2_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~4_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~7_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~19_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~3 ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~6 ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~9 ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~12 ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~15 ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~18 ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~20_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~22_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~1_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~13_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~14_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~16_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[0]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[6]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[5]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[4]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[2]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~7_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~22_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~8 ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~10 ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~12 ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~13_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][1]~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~2_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~14 ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~16 ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6]~17_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~3_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~13_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~15_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~2_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~11_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~9_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~1_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~10_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~7_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~16_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~4_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~1 ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~3 ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~6 ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~9 ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~12 ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~15 ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~17_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~19_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~1_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~2_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[4]~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~0_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[0]~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~1 ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~3 ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~5 ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~6_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~3_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[3]~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~7 ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~8_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~4_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~2_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~1_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[1]~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[1]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|base_address~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:base_address[0]~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~6_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[6]~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~9 ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~10_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~5_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[5]~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~11 ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~12_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~1_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~3_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:is_buffer_wrapped~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:segment_shift_var~regout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2]~feeder_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2]~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|base_address~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:base_address[0]~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[2]~2_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[3]~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~3 ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~4_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0]~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~combout ; +wire \auto_signaltap_0|~GND~combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~COUT ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit1a[0]~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0~combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~COUT ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[3]~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0~COUT ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1~combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1~COUT ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2~COUT ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr[0]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[0]~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0]~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[0]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][1]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][1]~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[1]~1_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~2_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[2]~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~5 ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~6_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~3_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2]~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[2]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[3]~3_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[5]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[5]~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][6]~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[6]~6_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~6_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[4]~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[4]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5]~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5]~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[5]~5_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~5_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3]~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[3]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4]~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4]~feeder_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4]~regout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[4]~4_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~0_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~2_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_dr_scan_reg~regout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~2_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~4_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~2_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~1_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~3_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena[1]~reg0_regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~4_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2]~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[3]~3_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~3_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~1_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[1]~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~feeder_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[2]~2_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~2_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0]~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][1]~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[1]~1_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~1_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~2_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~3_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:is_buffer_wrapped~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0]~regout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[0]~0_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~feeder_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~1_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~0_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~0_combout ; -wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~3_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~2_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~1_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~3_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena[1]~reg0_regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|collecting_post_data_var~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:collecting_post_data_var~regout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~0_combout ; +wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~1_combout ; wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~4_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~2_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~6_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~10 ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1]~11_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|clear_signal~combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1]~12 ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2]~15 ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3]~16_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~20_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3]~17 ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[4]~18_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~9_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~10_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~13_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~4_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2]~14_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~5_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~7_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0]~16_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~3_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~0_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~2_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~1_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~0_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~0_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~1_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~4_combout ; -wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~3_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[0]~6 ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1]~7_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2]~11_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~10_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~10_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~9_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1]~8 ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2]~12 ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3]~13_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3]~14 ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~15_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~9_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~6_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~7_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~0_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~17_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[1]~1_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[3]~feeder_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~0_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~1_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[2]~feeder_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[2]~feeder_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~0_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[2]~2_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[3]~feeder_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~3_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_proc~0_combout ; +wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~5_combout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~regout ; wire \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~_wirecell_combout ; @@ -2283,12 +2600,13 @@ wire [5:0] \inst|inst4|inst96|dffs ; wire [5:0] \inst|inst4|inst99|dffs ; wire [5:0] \inst|inst4|inst101|dffs ; wire [5:0] \inst|inst4|inst103|dffs ; +wire [0:0] \inst|inst1|auto_generated|aneb_result_wire ; wire [20:0] \inst|inst|auto_generated|safe_q ; wire [1:0] \mode~combout ; wire [5:0] \addr~combout ; wire [35:0] \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus ; -wire [15:0] \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4_PORTADATAOUT_bus ; +wire [15:0] \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32_PORTADATAOUT_bus ; wire [35:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0_PORTBDATAOUT_bus ; wire [9:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a36_PORTBDATAOUT_bus ; @@ -2296,55 +2614,55 @@ assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [0] = assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [1] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [1]; assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [2] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [2]; assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [3] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [3]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [20] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [4]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [21] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [5]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [22] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [6]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [23] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [7]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [24] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [8]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [25] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [9]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [26] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [10]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [27] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [11]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [28] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [12]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [29] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [13]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [30] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [14]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [31] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [15]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [32] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [16]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [33] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [17]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [34] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [18]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [35] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [19]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [36] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [20]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [37] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [21]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [38] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [22]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [39] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [23]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [40] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [24]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [41] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [25]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [42] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [26]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [43] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [27]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [44] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [28]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [45] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [29]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [46] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [30]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [47] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [31]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [4] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [4]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [5] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [5]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [6] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [6]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [7] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [7]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [8] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [8]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [9] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [9]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [10] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [10]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [11] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [11]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [12] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [12]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [13] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [13]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [14] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [14]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [15] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [15]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [16] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [16]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [17] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [17]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [18] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [18]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [19] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [19]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [20] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [20]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [21] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [21]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [22] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [22]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [23] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [23]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [24] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [24]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [25] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [25]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [26] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [26]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [27] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [27]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [28] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [28]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [29] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [29]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [30] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [30]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [31] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [31]; assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [48] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [32]; assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [49] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [33]; assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [50] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [34]; assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [51] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0_PORTADATAOUT_bus [35]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [4] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4_PORTADATAOUT_bus [0]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [5] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4_PORTADATAOUT_bus [1]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [6] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4_PORTADATAOUT_bus [2]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [7] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4_PORTADATAOUT_bus [3]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [8] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4_PORTADATAOUT_bus [4]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [9] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4_PORTADATAOUT_bus [5]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [10] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4_PORTADATAOUT_bus [6]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [11] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4_PORTADATAOUT_bus [7]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [12] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4_PORTADATAOUT_bus [8]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [13] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4_PORTADATAOUT_bus [9]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [14] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4_PORTADATAOUT_bus [10]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [15] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4_PORTADATAOUT_bus [11]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [16] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4_PORTADATAOUT_bus [12]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [17] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4_PORTADATAOUT_bus [13]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [18] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4_PORTADATAOUT_bus [14]; -assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [19] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4_PORTADATAOUT_bus [15]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [32] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32_PORTADATAOUT_bus [0]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [33] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32_PORTADATAOUT_bus [1]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [34] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32_PORTADATAOUT_bus [2]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [35] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32_PORTADATAOUT_bus [3]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [36] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32_PORTADATAOUT_bus [4]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [37] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32_PORTADATAOUT_bus [5]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [38] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32_PORTADATAOUT_bus [6]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [39] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32_PORTADATAOUT_bus [7]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [40] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32_PORTADATAOUT_bus [8]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [41] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32_PORTADATAOUT_bus [9]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [42] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32_PORTADATAOUT_bus [10]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [43] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32_PORTADATAOUT_bus [11]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [44] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32_PORTADATAOUT_bus [12]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [45] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32_PORTADATAOUT_bus [13]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [46] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32_PORTADATAOUT_bus [14]; +assign \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [47] = \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32_PORTADATAOUT_bus [15]; assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0_PORTBDATAOUT_bus [0]; assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0_PORTBDATAOUT_bus [1]; @@ -2394,2048 +2712,1957 @@ assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_ assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a44 = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a36_PORTBDATAOUT_bus [8]; assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a45 = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a36_PORTBDATAOUT_bus [9]; -// Location: LCFF_X26_Y18_N25 -cycloneii_lcell_ff \inst|inst4|inst101|dffs[4] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst100|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst50~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst101|dffs [4])); - -// Location: LCFF_X26_Y18_N19 -cycloneii_lcell_ff \inst|inst4|inst99|dffs[4] ( +// Location: LCFF_X35_Y14_N17 +cycloneii_lcell_ff \inst|inst4|inst5|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst98|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst5|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), .ena(\inst|inst4|inst124|inst49~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst99|dffs [4])); + .regout(\inst|inst4|inst5|dffs [4])); -// Location: LCFF_X29_Y18_N9 -cycloneii_lcell_ff \inst|inst4|inst96|dffs[4] ( +// Location: LCFF_X31_Y13_N1 +cycloneii_lcell_ff \inst|inst4|inst31|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst97|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst31|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst48~combout ), + .ena(\inst|inst4|inst124|inst91~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst96|dffs [4])); + .regout(\inst|inst4|inst31|dffs [4])); -// Location: LCFF_X25_Y18_N25 -cycloneii_lcell_ff \inst|inst4|inst103|dffs[4] ( +// Location: LCFF_X32_Y14_N25 +cycloneii_lcell_ff \inst|inst4|inst27|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst102|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst27|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst51~combout ), + .ena(\inst|inst4|inst124|inst93~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst103|dffs [4])); + .regout(\inst|inst4|inst27|dffs [4])); -// Location: LCFF_X34_Y17_N9 -cycloneii_lcell_ff \inst|inst4|inst45|dffs[4] ( +// Location: LCFF_X31_Y12_N17 +cycloneii_lcell_ff \inst|inst4|inst28|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst44|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst28|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst22~combout ), + .ena(\inst|inst4|inst124|inst92~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst45|dffs [4])); + .regout(\inst|inst4|inst28|dffs [4])); -// Location: LCFF_X31_Y17_N25 -cycloneii_lcell_ff \inst|inst4|inst42|dffs[4] ( +// Location: LCFF_X32_Y14_N27 +cycloneii_lcell_ff \inst|inst4|inst25|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst43|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst25|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst21~combout ), + .ena(\inst|inst4|inst124|inst39~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst42|dffs [4])); + .regout(\inst|inst4|inst25|dffs [4])); -// Location: LCFF_X32_Y17_N1 -cycloneii_lcell_ff \inst|inst4|inst41|dffs[4] ( +// Location: LCFF_X23_Y12_N3 +cycloneii_lcell_ff \inst|inst4|inst57|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst40|$00000|auto_generated|result_node[4]~0_combout ), + .datain(\inst|inst4|inst57|dffs[4]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst20~combout ), + .ena(\inst|inst4|inst124|inst78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst41|dffs [4])); + .regout(\inst|inst4|inst57|dffs [4])); -// Location: LCFF_X33_Y17_N17 -cycloneii_lcell_ff \inst|inst4|inst47|dffs[4] ( +// Location: LCFF_X22_Y15_N11 +cycloneii_lcell_ff \inst|inst4|inst53|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst46|$00000|auto_generated|result_node[4]~0_combout ), + .datain(\inst|inst4|inst53|dffs[4]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst23~combout ), + .ena(\inst|inst4|inst124|inst80~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst47|dffs [4])); + .regout(\inst|inst4|inst53|dffs [4])); -// Location: LCFF_X31_Y18_N17 -cycloneii_lcell_ff \inst|inst4|inst37|dffs[4] ( +// Location: LCFF_X27_Y10_N25 +cycloneii_lcell_ff \inst|inst4|inst77|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst36|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst77|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst18~combout ), + .ena(\inst|inst4|inst124|inst68~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst37|dffs [4])); + .regout(\inst|inst4|inst77|dffs [4])); -// Location: LCFF_X31_Y17_N3 -cycloneii_lcell_ff \inst|inst4|inst39|dffs[4] ( +// Location: LCFF_X24_Y10_N9 +cycloneii_lcell_ff \inst|inst4|inst75|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst38|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst75|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst19~combout ), + .ena(\inst|inst4|inst124|inst69~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst39|dffs [4])); + .regout(\inst|inst4|inst75|dffs [4])); -// Location: LCFF_X36_Y18_N9 -cycloneii_lcell_ff \inst|inst4|inst59|dffs[4] ( +// Location: LCFF_X24_Y10_N27 +cycloneii_lcell_ff \inst|inst4|inst73|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst58|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst73|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst29~combout ), + .ena(\inst|inst4|inst124|inst70~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst59|dffs [4])); + .regout(\inst|inst4|inst73|dffs [4])); -// Location: LCFF_X37_Y18_N17 -cycloneii_lcell_ff \inst|inst4|inst57|dffs[4] ( +// Location: LCFF_X27_Y10_N27 +cycloneii_lcell_ff \inst|inst4|inst79|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst56|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst79|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst28~combout ), + .ena(\inst|inst4|inst124|inst67~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst57|dffs [4])); + .regout(\inst|inst4|inst79|dffs [4])); -// Location: LCFF_X35_Y18_N17 -cycloneii_lcell_ff \inst|inst4|inst63|dffs[4] ( +// Location: LCFF_X22_Y11_N17 +cycloneii_lcell_ff \inst|inst4|inst68|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst62|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst68|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst31~combout ), + .ena(\inst|inst4|inst124|inst72~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst63|dffs [4])); + .regout(\inst|inst4|inst68|dffs [4])); -// Location: LCFF_X35_Y18_N3 -cycloneii_lcell_ff \inst|inst4|inst61|dffs[4] ( +// Location: LCFF_X22_Y12_N17 +cycloneii_lcell_ff \inst|inst4|inst67|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst60|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst67|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst30~combout ), + .ena(\inst|inst4|inst124|inst73~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst61|dffs [4])); + .regout(\inst|inst4|inst67|dffs [4])); -// Location: LCFF_X34_Y18_N17 -cycloneii_lcell_ff \inst|inst4|inst51|dffs[4] ( +// Location: LCFF_X22_Y12_N11 +cycloneii_lcell_ff \inst|inst4|inst65|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst50|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst65|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst25~combout ), + .ena(\inst|inst4|inst124|inst74~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst51|dffs [4])); + .regout(\inst|inst4|inst65|dffs [4])); -// Location: LCFF_X34_Y17_N3 -cycloneii_lcell_ff \inst|inst4|inst49|dffs[4] ( +// Location: LCFF_X22_Y10_N9 +cycloneii_lcell_ff \inst|inst4|inst71|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst48|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst71|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst24~combout ), + .ena(\inst|inst4|inst124|inst71~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst49|dffs [4])); + .regout(\inst|inst4|inst71|dffs [4])); -// Location: LCFF_X36_Y18_N19 -cycloneii_lcell_ff \inst|inst4|inst54|dffs[4] ( +// Location: LCFF_X26_Y11_N17 +cycloneii_lcell_ff \inst|inst4|inst82|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst55|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst82|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst27~combout ), + .ena(\inst|inst4|inst124|inst65~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst54|dffs [4])); + .regout(\inst|inst4|inst82|dffs [4])); -// Location: LCFF_X34_Y18_N19 -cycloneii_lcell_ff \inst|inst4|inst53|dffs[4] ( +// Location: LCFF_X26_Y11_N19 +cycloneii_lcell_ff \inst|inst4|inst81|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst52|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst81|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst26~combout ), + .ena(\inst|inst4|inst124|inst66~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst53|dffs [4])); + .regout(\inst|inst4|inst81|dffs [4])); -// Location: LCFF_X31_Y16_N25 -cycloneii_lcell_ff \inst|inst4|inst65|dffs[4] ( +// Location: LCFF_X26_Y10_N1 +cycloneii_lcell_ff \inst|inst4|inst87|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst64|$00000|auto_generated|result_node[4]~0_combout ), + .datain(\inst|inst4|inst87|dffs[4]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst32~combout ), + .ena(\inst|inst4|inst124|inst63~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst65|dffs [4])); + .regout(\inst|inst4|inst87|dffs [4])); -// Location: LCFF_X27_Y18_N25 -cycloneii_lcell_ff \inst|inst4|inst95|dffs[4] ( +// Location: LCFF_X26_Y10_N11 +cycloneii_lcell_ff \inst|inst4|inst85|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst94|$00000|auto_generated|result_node[4]~0_combout ), + .datain(\inst|inst4|inst85|dffs[4]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst47~combout ), + .ena(\inst|inst4|inst124|inst64~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst95|dffs [4])); + .regout(\inst|inst4|inst85|dffs [4])); -// Location: LCFF_X36_Y15_N29 +// Location: LCFF_X34_Y13_N17 cycloneii_lcell_ff \inst|inst4|inst13|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst12|$00000|auto_generated|result_node[3]~1_combout ), + .datain(\inst|inst4|inst13|dffs[3]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst6~combout ), + .ena(\inst|inst4|inst124|inst45~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst13|dffs [3])); -// Location: LCFF_X36_Y15_N7 +// Location: LCFF_X34_Y14_N13 cycloneii_lcell_ff \inst|inst4|inst11|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst10|$00000|auto_generated|result_node[3]~1_combout ), + .datain(\inst|inst4|inst11|dffs[3]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst5~combout ), + .ena(\inst|inst4|inst124|inst46~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst11|dffs [3])); -// Location: LCFF_X35_Y15_N21 -cycloneii_lcell_ff \inst|inst4|inst14|dffs[3] ( +// Location: LCFF_X35_Y13_N13 +cycloneii_lcell_ff \inst|inst4|inst9|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst15|$00000|auto_generated|result_node[3]~1_combout ), + .datain(\inst|inst4|inst9|dffs[3]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst7~combout ), + .ena(\inst|inst4|inst124|inst47~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst14|dffs [3])); + .regout(\inst|inst4|inst9|dffs [3])); -// Location: LCFF_X34_Y14_N27 -cycloneii_lcell_ff \inst|inst4|inst|dffs[3] ( +// Location: LCFF_X36_Y13_N27 +cycloneii_lcell_ff \inst|inst4|inst5|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst1|$00000|auto_generated|result_node[3]~1_combout ), + .datain(\inst|inst4|inst5|dffs[3]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst|dffs [3])); - -// Location: LCFF_X33_Y16_N5 -cycloneii_lcell_ff \inst|inst4|inst27|dffs[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst26|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst13~combout ), + .ena(\inst|inst4|inst124|inst49~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst27|dffs [3])); + .regout(\inst|inst4|inst5|dffs [3])); -// Location: LCFF_X32_Y16_N1 -cycloneii_lcell_ff \inst|inst4|inst25|dffs[3] ( +// Location: LCFF_X36_Y13_N13 +cycloneii_lcell_ff \inst|inst4|inst2|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst24|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst2|dffs[3]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst12~combout ), + .ena(\inst|inst4|inst124|inst50~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst25|dffs [3])); + .regout(\inst|inst4|inst2|dffs [3])); -// Location: LCFF_X35_Y15_N23 -cycloneii_lcell_ff \inst|inst4|inst19|dffs[3] ( +// Location: LCFF_X36_Y14_N17 +cycloneii_lcell_ff \inst|inst4|inst|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst18|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst|dffs[3]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst9~combout ), + .ena(\inst|inst4|inst124|inst51~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst19|dffs [3])); + .regout(\inst|inst4|inst|dffs [3])); -// Location: LCFF_X30_Y18_N1 -cycloneii_lcell_ff \inst|inst4|inst17|dffs[3] ( +// Location: LCFF_X35_Y13_N31 +cycloneii_lcell_ff \inst|inst4|inst7|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst16|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst7|dffs[3]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst8~combout ), + .ena(\inst|inst4|inst124|inst48~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst17|dffs [3])); + .regout(\inst|inst4|inst7|dffs [3])); -// Location: LCFF_X33_Y18_N27 -cycloneii_lcell_ff \inst|inst4|inst31|dffs[3] ( +// Location: LCFF_X33_Y15_N25 +cycloneii_lcell_ff \inst|inst4|inst25|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst30|$00000|auto_generated|result_node[3]~1_combout ), + .datain(\inst|inst4|inst25|dffs[3]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst15~combout ), + .ena(\inst|inst4|inst124|inst39~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst31|dffs [3])); + .regout(\inst|inst4|inst25|dffs [3])); -// Location: LCFF_X32_Y16_N11 -cycloneii_lcell_ff \inst|inst4|inst28|dffs[3] ( +// Location: LCFF_X33_Y12_N13 +cycloneii_lcell_ff \inst|inst4|inst19|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst29|$00000|auto_generated|result_node[3]~1_combout ), + .datain(\inst|inst4|inst19|dffs[3]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst14~combout ), + .ena(\inst|inst4|inst124|inst42~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst28|dffs [3])); + .regout(\inst|inst4|inst19|dffs [3])); -// Location: LCFF_X34_Y16_N5 +// Location: LCFF_X34_Y15_N1 cycloneii_lcell_ff \inst|inst4|inst23|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst22|$00000|auto_generated|result_node[3]~1_combout ), + .datain(\inst|inst4|inst23|dffs[3]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst11~combout ), + .ena(\inst|inst4|inst124|inst40~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst23|dffs [3])); -// Location: LCFF_X34_Y16_N7 +// Location: LCFF_X33_Y15_N11 cycloneii_lcell_ff \inst|inst4|inst21|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst20|$00000|auto_generated|result_node[3]~1_combout ), + .datain(\inst|inst4|inst21|dffs[3]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst10~combout ), + .ena(\inst|inst4|inst124|inst41~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst21|dffs [3])); -// Location: LCFF_X29_Y18_N27 -cycloneii_lcell_ff \inst|inst4|inst96|dffs[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst97|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst48~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst96|dffs [3])); - -// Location: LCFF_X31_Y17_N5 -cycloneii_lcell_ff \inst|inst4|inst42|dffs[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst43|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst21~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst42|dffs [3])); - -// Location: LCFF_X32_Y17_N11 -cycloneii_lcell_ff \inst|inst4|inst41|dffs[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst40|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst20~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst41|dffs [3])); - -// Location: LCFF_X31_Y18_N13 -cycloneii_lcell_ff \inst|inst4|inst37|dffs[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst36|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst18~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst37|dffs [3])); - -// Location: LCFF_X31_Y18_N15 -cycloneii_lcell_ff \inst|inst4|inst35|dffs[3] ( +// Location: LCFF_X29_Y14_N5 +cycloneii_lcell_ff \inst|inst4|inst101|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst34|$00000|auto_generated|result_node[3]~1_combout ), + .datain(\inst|inst4|inst101|dffs[3]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst17~combout ), + .ena(\inst|inst4|inst124|inst56~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst35|dffs [3])); + .regout(\inst|inst4|inst101|dffs [3])); -// Location: LCFF_X32_Y18_N27 -cycloneii_lcell_ff \inst|inst4|inst33|dffs[3] ( +// Location: LCFF_X29_Y13_N3 +cycloneii_lcell_ff \inst|inst4|inst99|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst32|$00000|auto_generated|result_node[3]~1_combout ), + .datain(\inst|inst4|inst99|dffs[3]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst16~combout ), + .ena(\inst|inst4|inst124|inst57~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst33|dffs [3])); + .regout(\inst|inst4|inst99|dffs [3])); -// Location: LCFF_X31_Y17_N7 -cycloneii_lcell_ff \inst|inst4|inst39|dffs[3] ( +// Location: LCFF_X29_Y14_N31 +cycloneii_lcell_ff \inst|inst4|inst103|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst38|$00000|auto_generated|result_node[3]~1_combout ), + .datain(\inst|inst4|inst103|dffs[3]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst19~combout ), + .ena(\inst|inst4|inst124|inst55~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst39|dffs [3])); + .regout(\inst|inst4|inst103|dffs [3])); -// Location: LCFF_X25_Y18_N7 -cycloneii_lcell_ff \inst|inst4|inst77|dffs[3] ( +// Location: LCFF_X24_Y11_N17 +cycloneii_lcell_ff \inst|inst4|inst45|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst76|$00000|auto_generated|result_node[3]~1_combout ), + .datain(\inst|inst4|inst45|dffs[3]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst38~combout ), + .ena(\inst|inst4|inst124|inst84~1_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst77|dffs [3])); + .regout(\inst|inst4|inst45|dffs [3])); -// Location: LCFF_X25_Y16_N13 -cycloneii_lcell_ff \inst|inst4|inst75|dffs[3] ( +// Location: LCFF_X24_Y11_N19 +cycloneii_lcell_ff \inst|inst4|inst42|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst74|$00000|auto_generated|result_node[3]~1_combout ), + .datain(\inst|inst4|inst42|dffs[3]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst37~combout ), + .ena(\inst|inst4|inst124|inst85~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst75|dffs [3])); + .regout(\inst|inst4|inst42|dffs [3])); -// Location: LCFF_X25_Y16_N31 -cycloneii_lcell_ff \inst|inst4|inst73|dffs[3] ( +// Location: LCFF_X25_Y11_N9 +cycloneii_lcell_ff \inst|inst4|inst41|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst72|$00000|auto_generated|result_node[3]~1_combout ), + .datain(\inst|inst4|inst41|dffs[3]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst36~combout ), + .ena(\inst|inst4|inst124|inst86~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst73|dffs [3])); + .regout(\inst|inst4|inst41|dffs [3])); -// Location: LCFF_X25_Y17_N13 -cycloneii_lcell_ff \inst|inst4|inst79|dffs[3] ( +// Location: LCFF_X25_Y15_N21 +cycloneii_lcell_ff \inst|inst4|inst47|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst78|$00000|auto_generated|result_node[3]~1_combout ), + .datain(\inst|inst4|inst47|dffs[3]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst39~combout ), + .ena(\inst|inst4|inst124|inst83~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst79|dffs [3])); + .regout(\inst|inst4|inst47|dffs [3])); -// Location: LCFF_X27_Y16_N29 -cycloneii_lcell_ff \inst|inst4|inst68|dffs[3] ( +// Location: LCFF_X25_Y11_N3 +cycloneii_lcell_ff \inst|inst4|inst37|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst69|$00000|auto_generated|result_node[3]~1_combout ), + .datain(\inst|inst4|inst37|dffs[3]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst34~combout ), + .ena(\inst|inst4|inst124|inst88~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst68|dffs [3])); + .regout(\inst|inst4|inst37|dffs [3])); -// Location: LCFF_X27_Y16_N23 -cycloneii_lcell_ff \inst|inst4|inst67|dffs[3] ( +// Location: LCFF_X25_Y13_N19 +cycloneii_lcell_ff \inst|inst4|inst35|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst66|$00000|auto_generated|result_node[3]~1_combout ), + .datain(\inst|inst4|inst35|dffs[3]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst33~combout ), + .ena(\inst|inst4|inst124|inst89~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst67|dffs [3])); + .regout(\inst|inst4|inst35|dffs [3])); -// Location: LCFF_X31_Y16_N3 -cycloneii_lcell_ff \inst|inst4|inst65|dffs[3] ( +// Location: LCFF_X31_Y12_N23 +cycloneii_lcell_ff \inst|inst4|inst33|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst64|$00000|auto_generated|result_node[3]~1_combout ), + .datain(\inst|inst4|inst33|dffs[3]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst32~combout ), + .ena(\inst|inst4|inst124|inst90~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst65|dffs [3])); + .regout(\inst|inst4|inst33|dffs [3])); -// Location: LCFF_X26_Y16_N27 -cycloneii_lcell_ff \inst|inst4|inst71|dffs[3] ( +// Location: LCFF_X24_Y12_N27 +cycloneii_lcell_ff \inst|inst4|inst39|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst70|$00000|auto_generated|result_node[3]~1_combout ), + .datain(\inst|inst4|inst39|dffs[3]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst35~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst71|dffs [3])); - -// Location: LCFF_X27_Y18_N21 -cycloneii_lcell_ff \inst|inst4|inst95|dffs[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst94|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst47~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst95|dffs [3])); - -// Location: LCFF_X27_Y17_N21 -cycloneii_lcell_ff \inst|inst4|inst91|dffs[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst90|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst45~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst91|dffs [3])); - -// Location: LCFF_X27_Y18_N7 -cycloneii_lcell_ff \inst|inst4|inst93|dffs[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst92|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst46~combout ), + .ena(\inst|inst4|inst124|inst87~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst93|dffs [3])); + .regout(\inst|inst4|inst39|dffs [3])); -// Location: LCFF_X27_Y17_N23 -cycloneii_lcell_ff \inst|inst4|inst89|dffs[3] ( +// Location: LCFF_X23_Y12_N13 +cycloneii_lcell_ff \inst|inst4|inst59|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst88|$00000|auto_generated|result_node[3]~1_combout ), + .datain(\inst|inst4|inst59|dffs[3]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst44~combout ), + .ena(\inst|inst4|inst124|inst77~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst89|dffs [3])); + .regout(\inst|inst4|inst59|dffs [3])); -// Location: LCFF_X26_Y17_N27 -cycloneii_lcell_ff \inst|inst4|inst87|dffs[3] ( +// Location: LCFF_X24_Y15_N7 +cycloneii_lcell_ff \inst|inst4|inst49|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst86|$00000|auto_generated|result_node[3]~1_combout ), + .datain(\inst|inst4|inst49|dffs[3]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst43~combout ), + .ena(\inst|inst4|inst124|inst82~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst87|dffs [3])); + .regout(\inst|inst4|inst49|dffs [3])); -// Location: LCFF_X26_Y17_N5 -cycloneii_lcell_ff \inst|inst4|inst82|dffs[3] ( +// Location: LCFF_X27_Y10_N5 +cycloneii_lcell_ff \inst|inst4|inst77|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst83|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst77|dffs[3]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst41~combout ), + .ena(\inst|inst4|inst124|inst68~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst82|dffs [3])); + .regout(\inst|inst4|inst77|dffs [3])); -// Location: LCFF_X29_Y17_N1 -cycloneii_lcell_ff \inst|inst4|inst85|dffs[3] ( +// Location: LCFF_X27_Y10_N15 +cycloneii_lcell_ff \inst|inst4|inst79|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst84|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst79|dffs[3]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst42~combout ), + .ena(\inst|inst4|inst124|inst67~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst85|dffs [3])); + .regout(\inst|inst4|inst79|dffs [3])); -// Location: LCFF_X29_Y17_N19 +// Location: LCFF_X26_Y11_N15 cycloneii_lcell_ff \inst|inst4|inst81|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst80|$00000|auto_generated|result_node[3]~1_combout ), + .datain(\inst|inst4|inst81|dffs[3]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst40~combout ), + .ena(\inst|inst4|inst124|inst66~0_combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst81|dffs [3])); -// Location: LCFF_X32_Y15_N21 +// Location: LCFF_X34_Y13_N29 cycloneii_lcell_ff \inst|inst4|inst13|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst12|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst13|dffs[2]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst6~combout ), + .ena(\inst|inst4|inst124|inst45~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst13|dffs [2])); -// Location: LCFF_X31_Y15_N1 +// Location: LCFF_X33_Y13_N13 cycloneii_lcell_ff \inst|inst4|inst11|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst10|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst11|dffs[2]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst5~combout ), + .ena(\inst|inst4|inst124|inst46~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst11|dffs [2])); -// Location: LCFF_X32_Y15_N31 +// Location: LCFF_X35_Y13_N25 cycloneii_lcell_ff \inst|inst4|inst9|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst8|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst9|dffs[2]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst4~combout ), + .ena(\inst|inst4|inst124|inst47~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst9|dffs [2])); -// Location: LCFF_X35_Y15_N17 +// Location: LCFF_X34_Y13_N31 cycloneii_lcell_ff \inst|inst4|inst14|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst15|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst14|dffs[2]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst7~combout ), + .ena(\inst|inst4|inst124|inst44~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst14|dffs [2])); -// Location: LCFF_X33_Y14_N17 +// Location: LCFF_X36_Y13_N15 cycloneii_lcell_ff \inst|inst4|inst5|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst4|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst5|dffs[2]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst2~combout ), + .ena(\inst|inst4|inst124|inst49~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst5|dffs [2])); -// Location: LCFF_X33_Y14_N3 +// Location: LCFF_X36_Y13_N9 cycloneii_lcell_ff \inst|inst4|inst2|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst3|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst2|dffs[2]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst1~combout ), + .ena(\inst|inst4|inst124|inst50~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst2|dffs [2])); -// Location: LCFF_X34_Y14_N5 +// Location: LCFF_X36_Y14_N19 cycloneii_lcell_ff \inst|inst4|inst|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst1|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst|dffs[2]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst~combout ), + .ena(\inst|inst4|inst124|inst51~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst|dffs [2])); -// Location: LCFF_X33_Y15_N5 +// Location: LCFF_X34_Y15_N19 cycloneii_lcell_ff \inst|inst4|inst7|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst6|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst7|dffs[2]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst3~combout ), + .ena(\inst|inst4|inst124|inst48~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst7|dffs [2])); -// Location: LCFF_X33_Y18_N5 +// Location: LCFF_X31_Y13_N29 cycloneii_lcell_ff \inst|inst4|inst31|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst30|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst31|dffs[2]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst15~combout ), + .ena(\inst|inst4|inst124|inst91~0_combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst31|dffs [2])); -// Location: LCFF_X31_Y15_N3 +// Location: LCFF_X32_Y14_N23 cycloneii_lcell_ff \inst|inst4|inst27|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst26|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst27|dffs[2]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst13~combout ), + .ena(\inst|inst4|inst124|inst93~0_combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst27|dffs [2])); -// Location: LCFF_X34_Y16_N17 +// Location: LCFF_X32_Y13_N25 cycloneii_lcell_ff \inst|inst4|inst23|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst22|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst23|dffs[2]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst11~combout ), + .ena(\inst|inst4|inst124|inst40~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst23|dffs [2])); -// Location: LCFF_X35_Y15_N27 +// Location: LCFF_X33_Y12_N23 cycloneii_lcell_ff \inst|inst4|inst19|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst18|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst19|dffs[2]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst9~combout ), + .ena(\inst|inst4|inst124|inst42~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst19|dffs [2])); -// Location: LCFF_X32_Y18_N21 +// Location: LCFF_X31_Y13_N15 cycloneii_lcell_ff \inst|inst4|inst28|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst29|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst28|dffs[2]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst14~combout ), + .ena(\inst|inst4|inst124|inst92~0_combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst28|dffs [2])); -// Location: LCFF_X35_Y16_N3 +// Location: LCFF_X32_Y14_N9 cycloneii_lcell_ff \inst|inst4|inst25|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst24|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst25|dffs[2]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst12~combout ), + .ena(\inst|inst4|inst124|inst39~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst25|dffs [2])); -// Location: LCFF_X35_Y16_N29 +// Location: LCFF_X32_Y13_N19 cycloneii_lcell_ff \inst|inst4|inst21|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst20|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst21|dffs[2]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst10~combout ), + .ena(\inst|inst4|inst124|inst41~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst21|dffs [2])); -// Location: LCFF_X34_Y15_N3 +// Location: LCFF_X33_Y13_N15 cycloneii_lcell_ff \inst|inst4|inst17|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst16|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst17|dffs[2]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst8~combout ), + .ena(\inst|inst4|inst124|inst43~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst17|dffs [2])); -// Location: LCFF_X26_Y18_N9 -cycloneii_lcell_ff \inst|inst4|inst101|dffs[2] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst100|$00000|auto_generated|result_node[2]~2_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst50~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst101|dffs [2])); - -// Location: LCFF_X26_Y18_N11 +// Location: LCFF_X29_Y13_N29 cycloneii_lcell_ff \inst|inst4|inst99|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst98|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst99|dffs[2]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst49~combout ), + .ena(\inst|inst4|inst124|inst57~0_combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst99|dffs [2])); -// Location: LCFF_X29_Y18_N21 +// Location: LCFF_X30_Y13_N13 cycloneii_lcell_ff \inst|inst4|inst96|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst97|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst96|dffs[2]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst48~combout ), + .ena(\inst|inst4|inst124|inst58~0_combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst96|dffs [2])); -// Location: LCFF_X25_Y18_N17 -cycloneii_lcell_ff \inst|inst4|inst103|dffs[2] ( +// Location: LCFF_X24_Y12_N13 +cycloneii_lcell_ff \inst|inst4|inst39|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst102|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst39|dffs[2]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst51~combout ), + .ena(\inst|inst4|inst124|inst87~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst103|dffs [2])); + .regout(\inst|inst4|inst39|dffs [2])); -// Location: LCFF_X34_Y17_N25 -cycloneii_lcell_ff \inst|inst4|inst45|dffs[2] ( +// Location: LCFF_X22_Y13_N3 +cycloneii_lcell_ff \inst|inst4|inst61|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst44|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst61|dffs[2]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst22~combout ), + .ena(\inst|inst4|inst124|inst76~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst45|dffs [2])); + .regout(\inst|inst4|inst61|dffs [2])); -// Location: LCFF_X31_Y17_N9 -cycloneii_lcell_ff \inst|inst4|inst42|dffs[2] ( +// Location: LCFF_X22_Y14_N13 +cycloneii_lcell_ff \inst|inst4|inst54|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst43|$00000|auto_generated|result_node[2]~2_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst54|dffs[2]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst21~combout ), + .ena(\inst|inst4|inst124|inst79~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst42|dffs [2])); + .regout(\inst|inst4|inst54|dffs [2])); -// Location: LCFF_X32_Y17_N29 -cycloneii_lcell_ff \inst|inst4|inst41|dffs[2] ( +// Location: LCFF_X23_Y14_N29 +cycloneii_lcell_ff \inst|inst4|inst53|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst40|$00000|auto_generated|result_node[2]~2_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst53|dffs[2]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst20~combout ), + .ena(\inst|inst4|inst124|inst80~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst41|dffs [2])); + .regout(\inst|inst4|inst53|dffs [2])); -// Location: LCFF_X33_Y17_N29 -cycloneii_lcell_ff \inst|inst4|inst47|dffs[2] ( +// Location: LCFF_X29_Y10_N7 +cycloneii_lcell_ff \inst|inst4|inst91|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst46|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst91|dffs[2]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst23~combout ), + .ena(\inst|inst4|inst124|inst61~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst47|dffs [2])); + .regout(\inst|inst4|inst91|dffs [2])); -// Location: LCFF_X32_Y17_N31 -cycloneii_lcell_ff \inst|inst4|inst37|dffs[2] ( +// Location: LCFF_X29_Y11_N5 +cycloneii_lcell_ff \inst|inst4|inst89|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst36|$00000|auto_generated|result_node[2]~2_combout ), - .sdata(\~GND~combout ), + .datain(\inst|inst4|inst89|dffs[2]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst18~combout ), + .ena(\inst|inst4|inst124|inst62~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst37|dffs [2])); + .regout(\inst|inst4|inst89|dffs [2])); -// Location: LCFF_X33_Y18_N7 -cycloneii_lcell_ff \inst|inst4|inst35|dffs[2] ( +// Location: LCFF_X30_Y12_N5 +cycloneii_lcell_ff \inst|inst4|inst95|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst34|$00000|auto_generated|result_node[2]~2_combout ), - .sdata(\~GND~combout ), + .datain(\inst|inst4|inst95|dffs[2]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst17~combout ), + .ena(\inst|inst4|inst124|inst59~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst35|dffs [2])); + .regout(\inst|inst4|inst95|dffs [2])); -// Location: LCFF_X32_Y18_N15 -cycloneii_lcell_ff \inst|inst4|inst33|dffs[2] ( +// Location: LCFF_X29_Y10_N25 +cycloneii_lcell_ff \inst|inst4|inst93|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst32|$00000|auto_generated|result_node[2]~2_combout ), - .sdata(\~GND~combout ), + .datain(\inst|inst4|inst93|dffs[2]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst16~combout ), + .ena(\inst|inst4|inst124|inst60~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst33|dffs [2])); + .regout(\inst|inst4|inst93|dffs [2])); -// Location: LCFF_X31_Y17_N27 -cycloneii_lcell_ff \inst|inst4|inst39|dffs[2] ( +// Location: LCFF_X26_Y11_N25 +cycloneii_lcell_ff \inst|inst4|inst82|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst38|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst82|dffs[2]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst19~combout ), + .ena(\inst|inst4|inst124|inst65~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst39|dffs [2])); + .regout(\inst|inst4|inst82|dffs [2])); -// Location: LCFF_X35_Y18_N25 -cycloneii_lcell_ff \inst|inst4|inst63|dffs[2] ( +// Location: LCFF_X26_Y11_N11 +cycloneii_lcell_ff \inst|inst4|inst81|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst62|$00000|auto_generated|result_node[2]~2_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst81|dffs[2]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst31~combout ), + .ena(\inst|inst4|inst124|inst66~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst63|dffs [2])); + .regout(\inst|inst4|inst81|dffs [2])); -// Location: LCFF_X25_Y18_N19 -cycloneii_lcell_ff \inst|inst4|inst77|dffs[2] ( +// Location: LCFF_X24_Y13_N9 +cycloneii_lcell_ff \inst|inst4|inst87|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst76|$00000|auto_generated|result_node[2]~2_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst87|dffs[2]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst38~combout ), + .ena(\inst|inst4|inst124|inst63~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst77|dffs [2])); + .regout(\inst|inst4|inst87|dffs [2])); -// Location: LCFF_X26_Y16_N21 -cycloneii_lcell_ff \inst|inst4|inst68|dffs[2] ( +// Location: LCFF_X24_Y13_N19 +cycloneii_lcell_ff \inst|inst4|inst85|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst69|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst85|dffs[2]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst34~combout ), + .ena(\inst|inst4|inst124|inst64~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst68|dffs [2])); + .regout(\inst|inst4|inst85|dffs [2])); -// Location: LCFF_X31_Y16_N21 -cycloneii_lcell_ff \inst|inst4|inst67|dffs[2] ( +// Location: LCFF_X34_Y14_N15 +cycloneii_lcell_ff \inst|inst4|inst13|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst66|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst13|dffs[5]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst33~combout ), + .ena(\inst|inst4|inst124|inst45~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst67|dffs [2])); + .regout(\inst|inst4|inst13|dffs [5])); -// Location: LCFF_X31_Y16_N15 -cycloneii_lcell_ff \inst|inst4|inst65|dffs[2] ( +// Location: LCFF_X34_Y14_N17 +cycloneii_lcell_ff \inst|inst4|inst11|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst64|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst11|dffs[5]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst32~combout ), + .ena(\inst|inst4|inst124|inst46~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst65|dffs [2])); - -// Location: LCFF_X27_Y18_N1 -cycloneii_lcell_ff \inst|inst4|inst95|dffs[2] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst94|$00000|auto_generated|result_node[2]~2_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst47~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst95|dffs [2])); + .regout(\inst|inst4|inst11|dffs [5])); -// Location: LCFF_X30_Y17_N1 -cycloneii_lcell_ff \inst|inst4|inst82|dffs[2] ( +// Location: LCFF_X35_Y13_N3 +cycloneii_lcell_ff \inst|inst4|inst9|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst83|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst9|dffs[5]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst41~combout ), + .ena(\inst|inst4|inst124|inst47~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst82|dffs [2])); + .regout(\inst|inst4|inst9|dffs [5])); -// Location: LCFF_X30_Y17_N11 -cycloneii_lcell_ff \inst|inst4|inst85|dffs[2] ( +// Location: LCFF_X35_Y12_N3 +cycloneii_lcell_ff \inst|inst4|inst14|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst84|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst14|dffs[5]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst42~combout ), + .ena(\inst|inst4|inst124|inst44~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst85|dffs [2])); + .regout(\inst|inst4|inst14|dffs [5])); -// Location: LCFF_X36_Y15_N9 -cycloneii_lcell_ff \inst|inst4|inst13|dffs[5] ( +// Location: LCFF_X35_Y14_N19 +cycloneii_lcell_ff \inst|inst4|inst5|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst12|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst5|dffs[5]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst6~combout ), + .ena(\inst|inst4|inst124|inst49~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst13|dffs [5])); + .regout(\inst|inst4|inst5|dffs [5])); -// Location: LCFF_X36_Y15_N11 -cycloneii_lcell_ff \inst|inst4|inst11|dffs[5] ( +// Location: LCFF_X36_Y14_N29 +cycloneii_lcell_ff \inst|inst4|inst2|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst10|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst2|dffs[5]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst5~combout ), + .ena(\inst|inst4|inst124|inst50~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst11|dffs [5])); + .regout(\inst|inst4|inst2|dffs [5])); -// Location: LCFF_X35_Y15_N29 -cycloneii_lcell_ff \inst|inst4|inst14|dffs[5] ( +// Location: LCFF_X36_Y14_N31 +cycloneii_lcell_ff \inst|inst4|inst|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst15|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst|dffs[5]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst7~combout ), + .ena(\inst|inst4|inst124|inst51~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst14|dffs [5])); + .regout(\inst|inst4|inst|dffs [5])); -// Location: LCFF_X33_Y18_N17 -cycloneii_lcell_ff \inst|inst4|inst31|dffs[5] ( +// Location: LCFF_X35_Y14_N5 +cycloneii_lcell_ff \inst|inst4|inst7|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst30|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst7|dffs[5]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst15~combout ), + .ena(\inst|inst4|inst124|inst48~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst31|dffs [5])); + .regout(\inst|inst4|inst7|dffs [5])); -// Location: LCFF_X33_Y16_N7 +// Location: LCFF_X32_Y14_N19 cycloneii_lcell_ff \inst|inst4|inst27|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst26|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst27|dffs[5]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst13~combout ), + .ena(\inst|inst4|inst124|inst93~0_combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst27|dffs [5])); -// Location: LCFF_X33_Y16_N1 +// Location: LCFF_X31_Y12_N11 cycloneii_lcell_ff \inst|inst4|inst28|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst29|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst28|dffs[5]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst14~combout ), + .ena(\inst|inst4|inst124|inst92~0_combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst28|dffs [5])); -// Location: LCFF_X35_Y16_N15 +// Location: LCFF_X32_Y14_N13 cycloneii_lcell_ff \inst|inst4|inst25|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst24|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst25|dffs[5]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst12~combout ), + .ena(\inst|inst4|inst124|inst39~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst25|dffs [5])); -// Location: LCFF_X34_Y16_N27 +// Location: LCFF_X32_Y13_N13 cycloneii_lcell_ff \inst|inst4|inst23|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst22|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst23|dffs[5]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst11~combout ), + .ena(\inst|inst4|inst124|inst40~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst23|dffs [5])); -// Location: LCFF_X35_Y15_N31 +// Location: LCFF_X33_Y12_N17 cycloneii_lcell_ff \inst|inst4|inst19|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst18|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst19|dffs[5]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst9~combout ), + .ena(\inst|inst4|inst124|inst42~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst19|dffs [5])); -// Location: LCFF_X35_Y16_N25 +// Location: LCFF_X32_Y13_N7 cycloneii_lcell_ff \inst|inst4|inst21|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst20|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst21|dffs[5]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst10~combout ), + .ena(\inst|inst4|inst124|inst41~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst21|dffs [5])); -// Location: LCFF_X34_Y15_N29 +// Location: LCFF_X33_Y13_N17 cycloneii_lcell_ff \inst|inst4|inst17|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst16|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst17|dffs[5]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst8~combout ), + .ena(\inst|inst4|inst124|inst43~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst17|dffs [5])); -// Location: LCFF_X29_Y18_N15 +// Location: LCFF_X29_Y14_N13 cycloneii_lcell_ff \inst|inst4|inst101|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst100|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst101|dffs[5]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst50~combout ), + .ena(\inst|inst4|inst124|inst56~0_combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst101|dffs [5])); -// Location: LCFF_X30_Y18_N3 +// Location: LCFF_X29_Y13_N15 cycloneii_lcell_ff \inst|inst4|inst99|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst98|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst99|dffs[5]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst49~combout ), + .ena(\inst|inst4|inst124|inst57~0_combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst99|dffs [5])); -// Location: LCFF_X29_Y18_N25 -cycloneii_lcell_ff \inst|inst4|inst96|dffs[5] ( +// Location: LCFF_X29_Y14_N23 +cycloneii_lcell_ff \inst|inst4|inst103|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst97|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst103|dffs[5]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst48~combout ), + .ena(\inst|inst4|inst124|inst55~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst96|dffs [5])); + .regout(\inst|inst4|inst103|dffs [5])); -// Location: LCFF_X25_Y18_N21 -cycloneii_lcell_ff \inst|inst4|inst103|dffs[5] ( +// Location: LCFF_X25_Y15_N19 +cycloneii_lcell_ff \inst|inst4|inst45|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst102|$00000|auto_generated|result_node[5]~3_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst45|dffs[5]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst51~combout ), + .ena(\inst|inst4|inst124|inst84~1_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst103|dffs [5])); + .regout(\inst|inst4|inst45|dffs [5])); + +// Location: LCFF_X24_Y12_N7 +cycloneii_lcell_ff \inst|inst4|inst42|dffs[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst42|dffs[5]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst85~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst42|dffs [5])); -// Location: LCFF_X32_Y17_N17 +// Location: LCFF_X25_Y11_N23 cycloneii_lcell_ff \inst|inst4|inst41|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst40|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst41|dffs[5]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst20~combout ), + .ena(\inst|inst4|inst124|inst86~0_combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst41|dffs [5])); -// Location: LCFF_X35_Y18_N5 -cycloneii_lcell_ff \inst|inst4|inst63|dffs[5] ( +// Location: LCFF_X25_Y15_N29 +cycloneii_lcell_ff \inst|inst4|inst47|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst62|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst47|dffs[5]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst31~combout ), + .ena(\inst|inst4|inst124|inst83~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst63|dffs [5])); + .regout(\inst|inst4|inst47|dffs [5])); -// Location: LCFF_X36_Y18_N21 +// Location: LCFF_X23_Y11_N17 cycloneii_lcell_ff \inst|inst4|inst59|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst58|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst59|dffs[5]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst29~combout ), + .ena(\inst|inst4|inst124|inst77~0_combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst59|dffs [5])); -// Location: LCFF_X35_Y18_N7 +// Location: LCFF_X22_Y13_N15 cycloneii_lcell_ff \inst|inst4|inst61|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst60|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst61|dffs[5]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst30~combout ), + .ena(\inst|inst4|inst124|inst76~0_combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst61|dffs [5])); -// Location: LCFF_X37_Y18_N23 +// Location: LCFF_X22_Y14_N31 cycloneii_lcell_ff \inst|inst4|inst57|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst56|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst57|dffs[5]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst28~combout ), + .ena(\inst|inst4|inst124|inst78~0_combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst57|dffs [5])); -// Location: LCFF_X25_Y18_N31 -cycloneii_lcell_ff \inst|inst4|inst77|dffs[5] ( +// Location: LCFF_X22_Y14_N9 +cycloneii_lcell_ff \inst|inst4|inst54|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst76|$00000|auto_generated|result_node[5]~3_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst54|dffs[5]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst38~combout ), + .ena(\inst|inst4|inst124|inst79~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst77|dffs [5])); + .regout(\inst|inst4|inst54|dffs [5])); -// Location: LCFF_X25_Y16_N29 -cycloneii_lcell_ff \inst|inst4|inst75|dffs[5] ( +// Location: LCFF_X23_Y14_N15 +cycloneii_lcell_ff \inst|inst4|inst51|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst74|$00000|auto_generated|result_node[5]~3_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst51|dffs[5]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst37~combout ), + .ena(\inst|inst4|inst124|inst81~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst75|dffs [5])); + .regout(\inst|inst4|inst51|dffs [5])); -// Location: LCFF_X25_Y16_N15 -cycloneii_lcell_ff \inst|inst4|inst73|dffs[5] ( +// Location: LCFF_X23_Y14_N1 +cycloneii_lcell_ff \inst|inst4|inst53|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst72|$00000|auto_generated|result_node[5]~3_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst53|dffs[5]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst36~combout ), + .ena(\inst|inst4|inst124|inst80~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst73|dffs [5])); + .regout(\inst|inst4|inst53|dffs [5])); -// Location: LCFF_X25_Y17_N9 -cycloneii_lcell_ff \inst|inst4|inst79|dffs[5] ( +// Location: LCFF_X24_Y15_N19 +cycloneii_lcell_ff \inst|inst4|inst49|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst78|$00000|auto_generated|result_node[5]~3_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst49|dffs[5]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst39~combout ), + .ena(\inst|inst4|inst124|inst82~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst79|dffs [5])); + .regout(\inst|inst4|inst49|dffs [5])); -// Location: LCFF_X27_Y16_N1 -cycloneii_lcell_ff \inst|inst4|inst68|dffs[5] ( +// Location: LCFF_X29_Y11_N15 +cycloneii_lcell_ff \inst|inst4|inst89|dffs[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst69|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst89|dffs[5]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst34~combout ), + .ena(\inst|inst4|inst124|inst62~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst68|dffs [5])); + .regout(\inst|inst4|inst89|dffs [5])); -// Location: LCFF_X27_Y16_N19 -cycloneii_lcell_ff \inst|inst4|inst67|dffs[5] ( +// Location: LCFF_X34_Y14_N29 +cycloneii_lcell_ff \inst|inst4|inst11|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst66|$00000|auto_generated|result_node[5]~3_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst11|dffs[1]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst33~combout ), + .ena(\inst|inst4|inst124|inst46~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst67|dffs [5])); + .regout(\inst|inst4|inst11|dffs [1])); -// Location: LCFF_X31_Y16_N17 -cycloneii_lcell_ff \inst|inst4|inst65|dffs[5] ( +// Location: LCFF_X35_Y13_N21 +cycloneii_lcell_ff \inst|inst4|inst9|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst64|$00000|auto_generated|result_node[5]~3_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst9|dffs[1]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst32~combout ), + .ena(\inst|inst4|inst124|inst47~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst65|dffs [5])); + .regout(\inst|inst4|inst9|dffs [1])); -// Location: LCFF_X26_Y16_N25 -cycloneii_lcell_ff \inst|inst4|inst71|dffs[5] ( +// Location: LCFF_X36_Y14_N9 +cycloneii_lcell_ff \inst|inst4|inst2|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst70|$00000|auto_generated|result_node[5]~3_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst2|dffs[1]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst35~combout ), + .ena(\inst|inst4|inst124|inst50~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst71|dffs [5])); + .regout(\inst|inst4|inst2|dffs [1])); -// Location: LCFF_X27_Y17_N5 -cycloneii_lcell_ff \inst|inst4|inst91|dffs[5] ( +// Location: LCFF_X36_Y13_N3 +cycloneii_lcell_ff \inst|inst4|inst5|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst90|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst5|dffs[1]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst45~combout ), + .ena(\inst|inst4|inst124|inst49~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst91|dffs [5])); + .regout(\inst|inst4|inst5|dffs [1])); -// Location: LCFF_X27_Y17_N15 -cycloneii_lcell_ff \inst|inst4|inst89|dffs[5] ( +// Location: LCFF_X36_Y14_N11 +cycloneii_lcell_ff \inst|inst4|inst|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst88|$00000|auto_generated|result_node[5]~3_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst|dffs[1]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst44~combout ), + .ena(\inst|inst4|inst124|inst51~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst89|dffs [5])); + .regout(\inst|inst4|inst|dffs [1])); -// Location: LCFF_X27_Y18_N13 -cycloneii_lcell_ff \inst|inst4|inst95|dffs[5] ( +// Location: LCFF_X37_Y14_N9 +cycloneii_lcell_ff \inst|inst4|inst7|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst94|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst7|dffs[1]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst47~combout ), + .ena(\inst|inst4|inst124|inst48~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst95|dffs [5])); + .regout(\inst|inst4|inst7|dffs [1])); -// Location: LCFF_X27_Y18_N15 -cycloneii_lcell_ff \inst|inst4|inst93|dffs[5] ( +// Location: LCFF_X32_Y14_N7 +cycloneii_lcell_ff \inst|inst4|inst27|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst92|$00000|auto_generated|result_node[5]~3_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst27|dffs[1]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst46~combout ), + .ena(\inst|inst4|inst124|inst93~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst93|dffs [5])); + .regout(\inst|inst4|inst27|dffs [1])); -// Location: LCFF_X25_Y17_N19 -cycloneii_lcell_ff \inst|inst4|inst82|dffs[5] ( +// Location: LCFF_X32_Y14_N17 +cycloneii_lcell_ff \inst|inst4|inst25|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst83|$00000|auto_generated|result_node[5]~3_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst25|dffs[1]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst41~combout ), + .ena(\inst|inst4|inst124|inst39~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst82|dffs [5])); + .regout(\inst|inst4|inst25|dffs [1])); -// Location: LCFF_X29_Y17_N13 -cycloneii_lcell_ff \inst|inst4|inst81|dffs[5] ( +// Location: LCFF_X33_Y14_N11 +cycloneii_lcell_ff \inst|inst4|inst19|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst80|$00000|auto_generated|result_node[5]~3_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst19|dffs[1]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst40~combout ), + .ena(\inst|inst4|inst124|inst42~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst81|dffs [5])); + .regout(\inst|inst4|inst19|dffs [1])); -// Location: LCFF_X26_Y17_N17 -cycloneii_lcell_ff \inst|inst4|inst87|dffs[5] ( +// Location: LCFF_X25_Y15_N7 +cycloneii_lcell_ff \inst|inst4|inst45|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst86|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst45|dffs[1]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst43~combout ), + .ena(\inst|inst4|inst124|inst84~1_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst87|dffs [5])); + .regout(\inst|inst4|inst45|dffs [1])); -// Location: LCFF_X29_Y17_N23 -cycloneii_lcell_ff \inst|inst4|inst85|dffs[5] ( +// Location: LCFF_X25_Y14_N15 +cycloneii_lcell_ff \inst|inst4|inst42|dffs[1] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst42|dffs[1]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst85~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst42|dffs [1])); + +// Location: LCFF_X25_Y13_N17 +cycloneii_lcell_ff \inst|inst4|inst35|dffs[1] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst35|dffs[1]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst89~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst35|dffs [1])); + +// Location: LCFF_X25_Y10_N11 +cycloneii_lcell_ff \inst|inst4|inst77|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst84|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst77|dffs[1]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst42~combout ), + .ena(\inst|inst4|inst124|inst68~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst85|dffs [5])); + .regout(\inst|inst4|inst77|dffs [1])); -// Location: LCFF_X29_Y18_N3 -cycloneii_lcell_ff \inst|inst4|inst96|dffs[1] ( +// Location: LCFF_X24_Y10_N15 +cycloneii_lcell_ff \inst|inst4|inst75|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst97|$00000|auto_generated|result_node[1]~4_combout ), + .datain(\inst|inst4|inst75|dffs[1]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst48~combout ), + .ena(\inst|inst4|inst124|inst69~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst96|dffs [1])); + .regout(\inst|inst4|inst75|dffs [1])); -// Location: LCFF_X27_Y17_N25 +// Location: LCFF_X25_Y10_N21 +cycloneii_lcell_ff \inst|inst4|inst79|dffs[1] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst79|dffs[1]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst67~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst79|dffs [1])); + +// Location: LCFF_X29_Y10_N21 cycloneii_lcell_ff \inst|inst4|inst91|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst90|$00000|auto_generated|result_node[1]~4_combout ), + .datain(\inst|inst4|inst91|dffs[1]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst45~combout ), + .ena(\inst|inst4|inst124|inst61~0_combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst91|dffs [1])); -// Location: LCFF_X27_Y17_N27 +// Location: LCFF_X29_Y11_N1 cycloneii_lcell_ff \inst|inst4|inst89|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst88|$00000|auto_generated|result_node[1]~4_combout ), + .datain(\inst|inst4|inst89|dffs[1]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst44~combout ), + .ena(\inst|inst4|inst124|inst62~0_combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst89|dffs [1])); -// Location: LCFF_X27_Y18_N9 -cycloneii_lcell_ff \inst|inst4|inst95|dffs[1] ( +// Location: LCFF_X30_Y12_N25 +cycloneii_lcell_ff \inst|inst4|inst93|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst94|$00000|auto_generated|result_node[1]~4_combout ), + .datain(\inst|inst4|inst93|dffs[1]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst47~combout ), + .ena(\inst|inst4|inst124|inst60~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst95|dffs [1])); + .regout(\inst|inst4|inst93|dffs [1])); -// Location: LCFF_X27_Y18_N19 -cycloneii_lcell_ff \inst|inst4|inst93|dffs[1] ( +// Location: LCFF_X26_Y11_N9 +cycloneii_lcell_ff \inst|inst4|inst82|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst92|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst82|dffs[1]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst46~combout ), + .ena(\inst|inst4|inst124|inst65~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst93|dffs [1])); + .regout(\inst|inst4|inst82|dffs [1])); -// Location: LCFF_X32_Y15_N13 -cycloneii_lcell_ff \inst|inst4|inst13|dffs[0] ( +// Location: LCFF_X26_Y13_N1 +cycloneii_lcell_ff \inst|inst4|inst87|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst12|$00000|auto_generated|result_node[0]~5_combout ), - .sdata(\~GND~combout ), + .datain(\inst|inst4|inst87|dffs[1]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst6~combout ), + .ena(\inst|inst4|inst124|inst63~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst13|dffs [0])); + .regout(\inst|inst4|inst87|dffs [1])); -// Location: LCFF_X31_Y15_N13 -cycloneii_lcell_ff \inst|inst4|inst11|dffs[0] ( +// Location: LCFF_X26_Y13_N27 +cycloneii_lcell_ff \inst|inst4|inst85|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst10|$00000|auto_generated|result_node[0]~5_combout ), + .datain(\inst|inst4|inst85|dffs[1]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst5~combout ), + .ena(\inst|inst4|inst124|inst64~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst11|dffs [0])); + .regout(\inst|inst4|inst85|dffs [1])); -// Location: LCFF_X32_Y15_N15 +// Location: LCFF_X35_Y13_N7 cycloneii_lcell_ff \inst|inst4|inst9|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst8|$00000|auto_generated|result_node[0]~5_combout ), + .datain(\inst|inst4|inst9|dffs[0]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst4~combout ), + .ena(\inst|inst4|inst124|inst47~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst9|dffs [0])); -// Location: LCFF_X35_Y15_N13 +// Location: LCFF_X35_Y12_N13 cycloneii_lcell_ff \inst|inst4|inst14|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst15|$00000|auto_generated|result_node[0]~5_combout ), + .datain(\inst|inst4|inst14|dffs[0]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst7~combout ), + .ena(\inst|inst4|inst124|inst44~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst14|dffs [0])); -// Location: LCFF_X34_Y15_N27 +// Location: LCFF_X37_Y14_N11 cycloneii_lcell_ff \inst|inst4|inst5|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst4|$00000|auto_generated|result_node[0]~5_combout ), + .datain(\inst|inst4|inst5|dffs[0]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst2~combout ), + .ena(\inst|inst4|inst124|inst49~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst5|dffs [0])); -// Location: LCFF_X33_Y15_N13 +// Location: LCFF_X36_Y14_N13 cycloneii_lcell_ff \inst|inst4|inst2|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst3|$00000|auto_generated|result_node[0]~5_combout ), + .datain(\inst|inst4|inst2|dffs[0]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst1~combout ), + .ena(\inst|inst4|inst124|inst50~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst2|dffs [0])); -// Location: LCFF_X34_Y14_N3 +// Location: LCFF_X36_Y14_N15 cycloneii_lcell_ff \inst|inst4|inst|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst1|$00000|auto_generated|result_node[0]~4_combout ), + .datain(\inst|inst4|inst|dffs[0]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst~combout ), + .ena(\inst|inst4|inst124|inst51~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst|dffs [0])); -// Location: LCFF_X33_Y15_N15 +// Location: LCFF_X37_Y14_N29 cycloneii_lcell_ff \inst|inst4|inst7|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst6|$00000|auto_generated|result_node[0]~5_combout ), + .datain(\inst|inst4|inst7|dffs[0]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst3~combout ), + .ena(\inst|inst4|inst124|inst48~combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst7|dffs [0])); -// Location: LCFF_X33_Y18_N15 +// Location: LCFF_X31_Y13_N5 cycloneii_lcell_ff \inst|inst4|inst31|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst30|$00000|auto_generated|result_node[0]~5_combout ), + .datain(\inst|inst4|inst31|dffs[0]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst15~combout ), + .ena(\inst|inst4|inst124|inst91~0_combout ), .devclrn(devclrn), .devpor(devpor), .regout(\inst|inst4|inst31|dffs [0])); -// Location: LCFF_X31_Y15_N23 -cycloneii_lcell_ff \inst|inst4|inst27|dffs[0] ( +// Location: LCFF_X32_Y14_N5 +cycloneii_lcell_ff \inst|inst4|inst25|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst26|$00000|auto_generated|result_node[0]~5_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst25|dffs[0]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst13~combout ), + .ena(\inst|inst4|inst124|inst39~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst27|dffs [0])); + .regout(\inst|inst4|inst25|dffs [0])); -// Location: LCFF_X32_Y18_N13 -cycloneii_lcell_ff \inst|inst4|inst28|dffs[0] ( +// Location: LCFF_X33_Y13_N7 +cycloneii_lcell_ff \inst|inst4|inst17|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst29|$00000|auto_generated|result_node[0]~5_combout ), + .datain(\inst|inst4|inst17|dffs[0]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst14~combout ), + .ena(\inst|inst4|inst124|inst43~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst28|dffs [0])); + .regout(\inst|inst4|inst17|dffs [0])); -// Location: LCFF_X35_Y16_N21 -cycloneii_lcell_ff \inst|inst4|inst25|dffs[0] ( +// Location: LCFF_X29_Y14_N27 +cycloneii_lcell_ff \inst|inst4|inst101|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst24|$00000|auto_generated|result_node[0]~5_combout ), + .datain(\inst|inst4|inst101|dffs[0]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst12~combout ), + .ena(\inst|inst4|inst124|inst56~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst25|dffs [0])); + .regout(\inst|inst4|inst101|dffs [0])); -// Location: LCFF_X34_Y16_N25 -cycloneii_lcell_ff \inst|inst4|inst23|dffs[0] ( +// Location: LCFF_X29_Y13_N19 +cycloneii_lcell_ff \inst|inst4|inst99|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst22|$00000|auto_generated|result_node[0]~5_combout ), + .datain(\inst|inst4|inst99|dffs[0]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst11~combout ), + .ena(\inst|inst4|inst124|inst57~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst23|dffs [0])); + .regout(\inst|inst4|inst99|dffs [0])); -// Location: LCFF_X35_Y15_N15 -cycloneii_lcell_ff \inst|inst4|inst19|dffs[0] ( +// Location: LCFF_X30_Y13_N27 +cycloneii_lcell_ff \inst|inst4|inst96|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst18|$00000|auto_generated|result_node[0]~5_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst96|dffs[0]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst9~combout ), + .ena(\inst|inst4|inst124|inst58~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst19|dffs [0])); + .regout(\inst|inst4|inst96|dffs [0])); -// Location: LCFF_X35_Y16_N31 -cycloneii_lcell_ff \inst|inst4|inst21|dffs[0] ( +// Location: LCFF_X29_Y14_N29 +cycloneii_lcell_ff \inst|inst4|inst103|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst20|$00000|auto_generated|result_node[0]~5_combout ), - .sdata(\~GND~combout ), + .datain(\inst|inst4|inst103|dffs[0]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst10~combout ), + .ena(\inst|inst4|inst124|inst55~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst21|dffs [0])); + .regout(\inst|inst4|inst103|dffs [0])); -// Location: LCFF_X34_Y15_N13 -cycloneii_lcell_ff \inst|inst4|inst17|dffs[0] ( +// Location: LCFF_X31_Y14_N11 +cycloneii_lcell_ff \inst|inst4|inst37|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst16|$00000|auto_generated|result_node[0]~5_combout ), + .datain(\inst|inst4|inst37|dffs[0]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst8~combout ), + .ena(\inst|inst4|inst124|inst88~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst17|dffs [0])); + .regout(\inst|inst4|inst37|dffs [0])); -// Location: LCFF_X30_Y18_N5 -cycloneii_lcell_ff \inst|inst4|inst99|dffs[0] ( +// Location: LCFF_X25_Y13_N5 +cycloneii_lcell_ff \inst|inst4|inst35|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst98|$00000|auto_generated|result_node[0]~5_combout ), + .datain(\inst|inst4|inst35|dffs[0]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst49~combout ), + .ena(\inst|inst4|inst124|inst89~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst99|dffs [0])); + .regout(\inst|inst4|inst35|dffs [0])); -// Location: LCFF_X31_Y18_N29 -cycloneii_lcell_ff \inst|inst4|inst37|dffs[0] ( +// Location: LCFF_X31_Y12_N15 +cycloneii_lcell_ff \inst|inst4|inst33|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst36|$00000|auto_generated|result_node[0]~5_combout ), + .datain(\inst|inst4|inst33|dffs[0]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst18~combout ), + .ena(\inst|inst4|inst124|inst90~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst37|dffs [0])); + .regout(\inst|inst4|inst33|dffs [0])); -// Location: LCFF_X31_Y18_N31 -cycloneii_lcell_ff \inst|inst4|inst35|dffs[0] ( +// Location: LCFF_X27_Y10_N21 +cycloneii_lcell_ff \inst|inst4|inst77|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst34|$00000|auto_generated|result_node[0]~5_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst77|dffs[0]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst17~combout ), + .ena(\inst|inst4|inst124|inst68~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst35|dffs [0])); + .regout(\inst|inst4|inst77|dffs [0])); -// Location: LCFF_X32_Y18_N31 -cycloneii_lcell_ff \inst|inst4|inst33|dffs[0] ( +// Location: LCFF_X24_Y10_N19 +cycloneii_lcell_ff \inst|inst4|inst75|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst32|$00000|auto_generated|result_node[0]~5_combout ), - .sdata(\~GND~combout ), + .datain(\inst|inst4|inst75|dffs[0]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst16~combout ), + .ena(\inst|inst4|inst124|inst69~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst33|dffs [0])); + .regout(\inst|inst4|inst75|dffs [0])); -// Location: LCFF_X35_Y18_N31 -cycloneii_lcell_ff \inst|inst4|inst61|dffs[0] ( +// Location: LCFF_X29_Y10_N15 +cycloneii_lcell_ff \inst|inst4|inst91|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst60|$00000|auto_generated|result_node[0]~5_combout ), - .sdata(\~GND~combout ), + .datain(\inst|inst4|inst91|dffs[0]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst30~combout ), + .ena(\inst|inst4|inst124|inst61~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst61|dffs [0])); + .regout(\inst|inst4|inst91|dffs [0])); -// Location: LCFF_X25_Y18_N15 -cycloneii_lcell_ff \inst|inst4|inst77|dffs[0] ( +// Location: LCFF_X29_Y11_N19 +cycloneii_lcell_ff \inst|inst4|inst89|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst76|$00000|auto_generated|result_node[0]~5_combout ), + .datain(\inst|inst4|inst89|dffs[0]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst38~combout ), + .ena(\inst|inst4|inst124|inst62~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst77|dffs [0])); + .regout(\inst|inst4|inst89|dffs [0])); -// Location: LCFF_X25_Y16_N21 -cycloneii_lcell_ff \inst|inst4|inst75|dffs[0] ( +// Location: LCFF_X29_Y12_N15 +cycloneii_lcell_ff \inst|inst4|inst95|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst74|$00000|auto_generated|result_node[0]~5_combout ), + .datain(\inst|inst4|inst95|dffs[0]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst37~combout ), + .ena(\inst|inst4|inst124|inst59~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst75|dffs [0])); + .regout(\inst|inst4|inst95|dffs [0])); -// Location: LCFF_X25_Y17_N31 -cycloneii_lcell_ff \inst|inst4|inst79|dffs[0] ( +// Location: LCFF_X29_Y12_N1 +cycloneii_lcell_ff \inst|inst4|inst93|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst78|$00000|auto_generated|result_node[0]~5_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst93|dffs[0]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst39~combout ), + .ena(\inst|inst4|inst124|inst60~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst79|dffs [0])); + .regout(\inst|inst4|inst93|dffs [0])); -// Location: LCFF_X26_Y16_N13 -cycloneii_lcell_ff \inst|inst4|inst68|dffs[0] ( +// Location: LCFF_X27_Y11_N5 +cycloneii_lcell_ff \inst|inst4|inst87|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst69|$00000|auto_generated|result_node[0]~5_combout ), - .sdata(\~GND~combout ), + .datain(\inst|inst4|inst87|dffs[0]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst34~combout ), + .ena(\inst|inst4|inst124|inst63~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst68|dffs [0])); + .regout(\inst|inst4|inst87|dffs [0])); -// Location: LCFF_X37_Y15_N21 +// Location: LCFF_X34_Y11_N21 cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[20] ( .clk(\clk~clkctrl_outclk ), .datain(\inst|inst|auto_generated|counter_comb_bita20~combout ), @@ -4448,10 +4675,10 @@ cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[20] ( .devpor(devpor), .regout(\inst|inst|auto_generated|safe_q [20])); -// Location: LCFF_X37_Y15_N11 -cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[15] ( +// Location: LCFF_X34_Y11_N13 +cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[16] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst|auto_generated|counter_comb_bita15~combout ), + .datain(\inst|inst|auto_generated|counter_comb_bita16~combout ), .sdata(gnd), .aclr(!\rst~combout ), .sclr(gnd), @@ -4459,12 +4686,12 @@ cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[15] ( .ena(!\button~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst|auto_generated|safe_q [15])); + .regout(\inst|inst|auto_generated|safe_q [16])); -// Location: LCFF_X37_Y15_N13 -cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[16] ( +// Location: LCFF_X34_Y11_N11 +cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[15] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst|auto_generated|counter_comb_bita16~combout ), + .datain(\inst|inst|auto_generated|counter_comb_bita15~combout ), .sdata(gnd), .aclr(!\rst~combout ), .sclr(gnd), @@ -4472,9 +4699,9 @@ cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[16] ( .ena(!\button~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst|auto_generated|safe_q [16])); + .regout(\inst|inst|auto_generated|safe_q [15])); -// Location: LCFF_X37_Y15_N7 +// Location: LCFF_X34_Y11_N7 cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[13] ( .clk(\clk~clkctrl_outclk ), .datain(\inst|inst|auto_generated|counter_comb_bita13~combout ), @@ -4487,7 +4714,7 @@ cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[13] ( .devpor(devpor), .regout(\inst|inst|auto_generated|safe_q [13])); -// Location: LCFF_X37_Y16_N17 +// Location: LCFF_X34_Y12_N17 cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[2] ( .clk(\clk~clkctrl_outclk ), .datain(\inst|inst|auto_generated|counter_comb_bita2~combout ), @@ -4500,7 +4727,20 @@ cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[2] ( .devpor(devpor), .regout(\inst|inst|auto_generated|safe_q [2])); -// Location: LCFF_X24_Y15_N21 +// Location: LCFF_X34_Y12_N21 +cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst|auto_generated|counter_comb_bita4~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\button~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst|auto_generated|safe_q [4])); + +// Location: LCFF_X37_Y12_N21 cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[20] ( .clk(\clk~clkctrl_outclk ), .datain(\inst|inst12|auto_generated|counter_comb_bita20~combout ), @@ -4513,10 +4753,10 @@ cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[20] ( .devpor(devpor), .regout(\inst|inst12|auto_generated|safe_q [20])); -// Location: LCFF_X24_Y15_N11 -cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[15] ( +// Location: LCFF_X37_Y12_N13 +cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[16] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst12|auto_generated|counter_comb_bita15~combout ), + .datain(\inst|inst12|auto_generated|counter_comb_bita16~combout ), .sdata(gnd), .aclr(!\rst~combout ), .sclr(gnd), @@ -4524,12 +4764,12 @@ cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[15] ( .ena(!\rst~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst12|auto_generated|safe_q [15])); + .regout(\inst|inst12|auto_generated|safe_q [16])); -// Location: LCFF_X24_Y15_N13 -cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[16] ( +// Location: LCFF_X37_Y12_N11 +cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[15] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst12|auto_generated|counter_comb_bita16~combout ), + .datain(\inst|inst12|auto_generated|counter_comb_bita15~combout ), .sdata(gnd), .aclr(!\rst~combout ), .sclr(gnd), @@ -4537,9 +4777,9 @@ cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[16] ( .ena(!\rst~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst12|auto_generated|safe_q [16])); + .regout(\inst|inst12|auto_generated|safe_q [15])); -// Location: LCFF_X24_Y15_N7 +// Location: LCFF_X37_Y12_N7 cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[13] ( .clk(\clk~clkctrl_outclk ), .datain(\inst|inst12|auto_generated|counter_comb_bita13~combout ), @@ -4552,7 +4792,7 @@ cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[13] ( .devpor(devpor), .regout(\inst|inst12|auto_generated|safe_q [13])); -// Location: LCFF_X24_Y16_N17 +// Location: LCFF_X37_Y13_N17 cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[2] ( .clk(\clk~clkctrl_outclk ), .datain(\inst|inst12|auto_generated|counter_comb_bita2~combout ), @@ -4565,7 +4805,20 @@ cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[2] ( .devpor(devpor), .regout(\inst|inst12|auto_generated|safe_q [2])); -// Location: M4K_X41_Y17 +// Location: LCFF_X37_Y13_N21 +cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst12|auto_generated|counter_comb_bita4~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\rst~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst12|auto_generated|safe_q [4])); + +// Location: M4K_X17_Y13 cycloneii_ram_block \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0 ( .portawe(gnd), .portaaddrstall(gnd), @@ -4614,11 +4867,11 @@ defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_bloc defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0 .ram_block_type = "M4K"; defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0 .safe_write = "err_on_2clk"; defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0 .mem_init1 = 256'h0000000000000000000000000000000000000000000000000000000000000000; -defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0 .mem_init0 = 2048'h00000000000000000000000000000000000000000000800000000C00000000E00000000F00000000F80000000FC0000000FE0000000FF0000000FF8000000FFC000000FFE000000FFF000000FFF800000FFFC00000FFFE00000FFFF00000FFFF80000FFFFC0000FFFFE0000FFFFF0000FFFFF8000FFFFFC000FFFFFE000FFFFFF000FFFFFF800FFFFFFC00FFFFFFE00FFFFFFF00FFFFFFF80FFFFFFFC0FFFFFFFE0FFFFFFFF0FFFFFFFF0FFFFFFFF0FFFFFFFF0FFFFFFFF0FFFFFFFF0FFFFFFFF0FFFFFFFF0FFFFFFFF0FFFFFFFF0FFFFFFFF0FFFFFFFF0FFFFFFFF0FFFFFFFF0FFFFFFFF0FFFFFFFF0FFFFFFFF0FFFFFFFF8FFFFFFFFCFFFFFFFFEFFFFFFFFF; +defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0 .mem_init0 = 2048'h00000000000000000000000000000000000000000000800000000C00000000E00000000F00000000F00000000F00000000F00000000F00000000F00000000F00000000F00000000F00000000F00000000F00000000F00000000F00000000F00000000F00000000F00000000F00000000F80000000FC0000000FE0000000FF0000000FF8000000FFC000000FFE000000FFF000000FFF800000FFFC00000FFFE00000FFFF00000FFFF80000FFFFC0000FFFFE0000FFFFF0000FFFFF8000FFFFFC000FFFFFE000FFFFFF000FFFFFF800FFFFFFC00FFFFFFE00FFFFFFF00FFFFFFF80FFFFFFFC0FFFFFFFE0FFFFFFFF0FFFFFFFF8FFFFFFFFCFFFFFFFFEFFFFFFFFF; // synopsys translate_on -// Location: M4K_X17_Y17 -cycloneii_ram_block \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 ( +// Location: M4K_X17_Y11 +cycloneii_ram_block \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 ( .portawe(gnd), .portaaddrstall(gnd), .portbrewe(vcc), @@ -4637,38 +4890,38 @@ cycloneii_ram_block \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generat .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), - .portadataout(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4_PORTADATAOUT_bus ), + .portadataout(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32_PORTADATAOUT_bus ), .portbdataout()); // synopsys translate_off -defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 .data_interleave_offset_in_bits = 1; -defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 .data_interleave_width_in_bits = 1; -defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 .init_file = "gA6_popup_rom_data.mif"; -defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 .init_file_layout = "port_a"; -defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 .logical_ram_name = "gA6_testbed:inst|gA6_stack52:inst4|gA6_pop_enable:inst104|lpm_rom:lookup_table|altrom:srom|altsyncram:rom_block|altsyncram_f911:auto_generated|ALTSYNCRAM"; -defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 .operation_mode = "rom"; -defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 .port_a_address_clear = "none"; -defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 .port_a_address_width = 6; -defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 .port_a_byte_enable_clear = "none"; -defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 .port_a_byte_enable_clock = "none"; -defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 .port_a_data_in_clear = "none"; -defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 .port_a_data_out_clear = "none"; -defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 .port_a_data_out_clock = "none"; -defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 .port_a_data_width = 16; -defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 .port_a_first_address = 0; -defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 .port_a_first_bit_number = 4; -defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 .port_a_last_address = 63; -defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 .port_a_logical_ram_depth = 64; -defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 .port_a_logical_ram_width = 52; -defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 .port_a_write_enable_clear = "none"; -defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 .port_a_write_enable_clock = "none"; -defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 .port_b_address_width = 6; -defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 .port_b_data_width = 16; -defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 .ram_block_type = "M4K"; -defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 .safe_write = "err_on_2clk"; -defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 .mem_init0 = 1024'h000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000008000C000E000F000F800FC00FE00FF00FF80FFC0FFE0FFF0FFF8FFFCFFFEFFFFFFFFFFFFFFFFFFFF; -// synopsys translate_on - -// Location: LCCOMB_X37_Y16_N16 +defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 .data_interleave_offset_in_bits = 1; +defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 .data_interleave_width_in_bits = 1; +defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 .init_file = "gA6_popup_rom_data.mif"; +defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 .init_file_layout = "port_a"; +defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 .logical_ram_name = "gA6_testbed:inst|gA6_stack52:inst4|gA6_pop_enable:inst104|lpm_rom:lookup_table|altrom:srom|altsyncram:rom_block|altsyncram_f911:auto_generated|ALTSYNCRAM"; +defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 .operation_mode = "rom"; +defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 .port_a_address_clear = "none"; +defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 .port_a_address_width = 6; +defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 .port_a_byte_enable_clear = "none"; +defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 .port_a_byte_enable_clock = "none"; +defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 .port_a_data_in_clear = "none"; +defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 .port_a_data_out_clear = "none"; +defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 .port_a_data_out_clock = "none"; +defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 .port_a_data_width = 16; +defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 .port_a_first_address = 0; +defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 .port_a_first_bit_number = 32; +defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 .port_a_last_address = 63; +defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 .port_a_logical_ram_depth = 64; +defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 .port_a_logical_ram_width = 52; +defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 .port_a_write_enable_clear = "none"; +defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 .port_a_write_enable_clock = "none"; +defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 .port_b_address_width = 6; +defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 .port_b_data_width = 16; +defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 .ram_block_type = "M4K"; +defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 .safe_write = "err_on_2clk"; +defparam \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 .mem_init0 = 1024'h00000000000000000000000000000000000000000000000000000000000000008000C000E000F000F800FC00FE00FF00FF80FFC0FFE0FFF0FFF8FFFCFFFEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF; +// synopsys translate_on + +// Location: LCCOMB_X34_Y12_N16 cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita2 ( // Equation(s): // \inst|inst|auto_generated|counter_comb_bita2~combout = (\inst|inst|auto_generated|safe_q [2] & (\inst|inst|auto_generated|counter_comb_bita1~COUT $ (GND))) # (!\inst|inst|auto_generated|safe_q [2] & (!\inst|inst|auto_generated|counter_comb_bita1~COUT & @@ -4687,7 +4940,26 @@ defparam \inst|inst|auto_generated|counter_comb_bita2 .lut_mask = 16'hA50A; defparam \inst|inst|auto_generated|counter_comb_bita2 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X37_Y15_N6 +// Location: LCCOMB_X34_Y12_N20 +cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita4 ( +// Equation(s): +// \inst|inst|auto_generated|counter_comb_bita4~combout = (\inst|inst|auto_generated|safe_q [4] & (\inst|inst|auto_generated|counter_comb_bita3~COUT $ (GND))) # (!\inst|inst|auto_generated|safe_q [4] & (!\inst|inst|auto_generated|counter_comb_bita3~COUT & +// VCC)) +// \inst|inst|auto_generated|counter_comb_bita4~COUT = CARRY((\inst|inst|auto_generated|safe_q [4] & !\inst|inst|auto_generated|counter_comb_bita3~COUT )) + + .dataa(\inst|inst|auto_generated|safe_q [4]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst|auto_generated|counter_comb_bita3~COUT ), + .combout(\inst|inst|auto_generated|counter_comb_bita4~combout ), + .cout(\inst|inst|auto_generated|counter_comb_bita4~COUT )); +// synopsys translate_off +defparam \inst|inst|auto_generated|counter_comb_bita4 .lut_mask = 16'hA50A; +defparam \inst|inst|auto_generated|counter_comb_bita4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X34_Y11_N6 cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita13 ( // Equation(s): // \inst|inst|auto_generated|counter_comb_bita13~combout = (\inst|inst|auto_generated|safe_q [13] & (!\inst|inst|auto_generated|counter_comb_bita12~COUT )) # (!\inst|inst|auto_generated|safe_q [13] & ((\inst|inst|auto_generated|counter_comb_bita12~COUT ) # @@ -4706,7 +4978,7 @@ defparam \inst|inst|auto_generated|counter_comb_bita13 .lut_mask = 16'h5A5F; defparam \inst|inst|auto_generated|counter_comb_bita13 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X37_Y15_N10 +// Location: LCCOMB_X34_Y11_N10 cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita15 ( // Equation(s): // \inst|inst|auto_generated|counter_comb_bita15~combout = (\inst|inst|auto_generated|safe_q [15] & (!\inst|inst|auto_generated|counter_comb_bita14~COUT )) # (!\inst|inst|auto_generated|safe_q [15] & ((\inst|inst|auto_generated|counter_comb_bita14~COUT ) # @@ -4725,7 +4997,7 @@ defparam \inst|inst|auto_generated|counter_comb_bita15 .lut_mask = 16'h5A5F; defparam \inst|inst|auto_generated|counter_comb_bita15 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X37_Y15_N12 +// Location: LCCOMB_X34_Y11_N12 cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita16 ( // Equation(s): // \inst|inst|auto_generated|counter_comb_bita16~combout = (\inst|inst|auto_generated|safe_q [16] & (\inst|inst|auto_generated|counter_comb_bita15~COUT $ (GND))) # (!\inst|inst|auto_generated|safe_q [16] & @@ -4744,7 +5016,7 @@ defparam \inst|inst|auto_generated|counter_comb_bita16 .lut_mask = 16'hA50A; defparam \inst|inst|auto_generated|counter_comb_bita16 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X37_Y15_N18 +// Location: LCCOMB_X34_Y11_N18 cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita19 ( // Equation(s): // \inst|inst|auto_generated|counter_comb_bita19~combout = (\inst|inst|auto_generated|safe_q [19] & (!\inst|inst|auto_generated|counter_comb_bita18~COUT )) # (!\inst|inst|auto_generated|safe_q [19] & ((\inst|inst|auto_generated|counter_comb_bita18~COUT ) # @@ -4763,7 +5035,7 @@ defparam \inst|inst|auto_generated|counter_comb_bita19 .lut_mask = 16'h3C3F; defparam \inst|inst|auto_generated|counter_comb_bita19 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X37_Y15_N20 +// Location: LCCOMB_X34_Y11_N20 cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita20 ( // Equation(s): // \inst|inst|auto_generated|counter_comb_bita20~combout = \inst|inst|auto_generated|safe_q [20] $ (!\inst|inst|auto_generated|counter_comb_bita19~COUT ) @@ -4780,7 +5052,7 @@ defparam \inst|inst|auto_generated|counter_comb_bita20 .lut_mask = 16'hA5A5; defparam \inst|inst|auto_generated|counter_comb_bita20 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X24_Y16_N16 +// Location: LCCOMB_X37_Y13_N16 cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita2 ( // Equation(s): // \inst|inst12|auto_generated|counter_comb_bita2~combout = (\inst|inst12|auto_generated|safe_q [2] & (\inst|inst12|auto_generated|counter_comb_bita1~COUT $ (GND))) # (!\inst|inst12|auto_generated|safe_q [2] & @@ -4799,7 +5071,26 @@ defparam \inst|inst12|auto_generated|counter_comb_bita2 .lut_mask = 16'hA50A; defparam \inst|inst12|auto_generated|counter_comb_bita2 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X24_Y15_N6 +// Location: LCCOMB_X37_Y13_N20 +cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita4 ( +// Equation(s): +// \inst|inst12|auto_generated|counter_comb_bita4~combout = (\inst|inst12|auto_generated|safe_q [4] & (\inst|inst12|auto_generated|counter_comb_bita3~COUT $ (GND))) # (!\inst|inst12|auto_generated|safe_q [4] & +// (!\inst|inst12|auto_generated|counter_comb_bita3~COUT & VCC)) +// \inst|inst12|auto_generated|counter_comb_bita4~COUT = CARRY((\inst|inst12|auto_generated|safe_q [4] & !\inst|inst12|auto_generated|counter_comb_bita3~COUT )) + + .dataa(\inst|inst12|auto_generated|safe_q [4]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst12|auto_generated|counter_comb_bita3~COUT ), + .combout(\inst|inst12|auto_generated|counter_comb_bita4~combout ), + .cout(\inst|inst12|auto_generated|counter_comb_bita4~COUT )); +// synopsys translate_off +defparam \inst|inst12|auto_generated|counter_comb_bita4 .lut_mask = 16'hA50A; +defparam \inst|inst12|auto_generated|counter_comb_bita4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y12_N6 cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita13 ( // Equation(s): // \inst|inst12|auto_generated|counter_comb_bita13~combout = (\inst|inst12|auto_generated|safe_q [13] & (!\inst|inst12|auto_generated|counter_comb_bita12~COUT )) # (!\inst|inst12|auto_generated|safe_q [13] & @@ -4818,7 +5109,7 @@ defparam \inst|inst12|auto_generated|counter_comb_bita13 .lut_mask = 16'h5A5F; defparam \inst|inst12|auto_generated|counter_comb_bita13 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X24_Y15_N10 +// Location: LCCOMB_X37_Y12_N10 cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita15 ( // Equation(s): // \inst|inst12|auto_generated|counter_comb_bita15~combout = (\inst|inst12|auto_generated|safe_q [15] & (!\inst|inst12|auto_generated|counter_comb_bita14~COUT )) # (!\inst|inst12|auto_generated|safe_q [15] & @@ -4837,7 +5128,7 @@ defparam \inst|inst12|auto_generated|counter_comb_bita15 .lut_mask = 16'h5A5F; defparam \inst|inst12|auto_generated|counter_comb_bita15 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X24_Y15_N12 +// Location: LCCOMB_X37_Y12_N12 cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita16 ( // Equation(s): // \inst|inst12|auto_generated|counter_comb_bita16~combout = (\inst|inst12|auto_generated|safe_q [16] & (\inst|inst12|auto_generated|counter_comb_bita15~COUT $ (GND))) # (!\inst|inst12|auto_generated|safe_q [16] & @@ -4856,7 +5147,7 @@ defparam \inst|inst12|auto_generated|counter_comb_bita16 .lut_mask = 16'hA50A; defparam \inst|inst12|auto_generated|counter_comb_bita16 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X24_Y15_N18 +// Location: LCCOMB_X37_Y12_N18 cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita19 ( // Equation(s): // \inst|inst12|auto_generated|counter_comb_bita19~combout = (\inst|inst12|auto_generated|safe_q [19] & (!\inst|inst12|auto_generated|counter_comb_bita18~COUT )) # (!\inst|inst12|auto_generated|safe_q [19] & @@ -4875,7 +5166,7 @@ defparam \inst|inst12|auto_generated|counter_comb_bita19 .lut_mask = 16'h3C3F; defparam \inst|inst12|auto_generated|counter_comb_bita19 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X24_Y15_N20 +// Location: LCCOMB_X37_Y12_N20 cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita20 ( // Equation(s): // \inst|inst12|auto_generated|counter_comb_bita20~combout = \inst|inst12|auto_generated|safe_q [20] $ (!\inst|inst12|auto_generated|counter_comb_bita19~COUT ) @@ -4892,892 +5183,719 @@ defparam \inst|inst12|auto_generated|counter_comb_bita20 .lut_mask = 16'hA5A5; defparam \inst|inst12|auto_generated|counter_comb_bita20 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X33_Y16_N24 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~3 ( +// Location: LCCOMB_X31_Y13_N6 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~2 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~3_combout = (!\addr~combout [0] & ((\addr~combout [1] & ((\inst|inst4|inst28|dffs [4]))) # (!\addr~combout [1] & (\inst|inst4|inst25|dffs [4])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~2_combout = (\addr~combout [0] & ((\addr~combout [1] & (\inst|inst4|inst31|dffs [4])) # (!\addr~combout [1] & ((\inst|inst4|inst27|dffs [4]))))) - .dataa(\addr~combout [1]), - .datab(\inst|inst4|inst25|dffs [4]), - .datac(\inst|inst4|inst28|dffs [4]), - .datad(\addr~combout [0]), + .dataa(\addr~combout [0]), + .datab(\inst|inst4|inst31|dffs [4]), + .datac(\inst|inst4|inst27|dffs [4]), + .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~3_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~3 .lut_mask = 16'h00E4; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~2 .lut_mask = 16'h88A0; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y18_N6 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~5 ( +// Location: LCCOMB_X32_Y12_N10 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~3 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~5_combout = (\addr~combout [0] & ((\addr~combout [1] & (\inst|inst4|inst23|dffs [4])) # (!\addr~combout [1] & ((\inst|inst4|inst19|dffs [4]))))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~3_combout = (!\addr~combout [0] & ((\addr~combout [1] & (\inst|inst4|inst28|dffs [4])) # (!\addr~combout [1] & ((\inst|inst4|inst25|dffs [4]))))) - .dataa(\inst|inst4|inst23|dffs [4]), - .datab(\inst|inst4|inst19|dffs [4]), - .datac(\addr~combout [0]), + .dataa(\addr~combout [0]), + .datab(\inst|inst4|inst28|dffs [4]), + .datac(\inst|inst4|inst25|dffs [4]), .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~5_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~5 .lut_mask = 16'hA0C0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~3 .lut_mask = 16'h4450; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y18_N16 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~17 ( +// Location: LCCOMB_X32_Y12_N12 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~4 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~17_combout = (\addr~combout [1] & (((\addr~combout [0])))) # (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst99|dffs [4]))) # (!\addr~combout [0] & (\inst|inst4|inst96|dffs [4])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~4_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~3_combout ) # +// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~2_combout ))) - .dataa(\addr~combout [1]), - .datab(\inst|inst4|inst96|dffs [4]), - .datac(\addr~combout [0]), - .datad(\inst|inst4|inst99|dffs [4]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~3_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~2_combout ), + .datac(vcc), + .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~17_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~17 .lut_mask = 16'hF4A4; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~17 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~4 .lut_mask = 16'hEE00; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y18_N2 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~18 ( +// Location: LCCOMB_X29_Y12_N10 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~17 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~18_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~17_combout & (((\inst|inst4|inst103|dffs [4]) # (!\addr~combout [1])))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~17_combout & (\inst|inst4|inst101|dffs [4] & ((\addr~combout [1])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~17_combout = (\addr~combout [0] & (((\inst|inst4|inst99|dffs [4]) # (\addr~combout [1])))) # (!\addr~combout [0] & (\inst|inst4|inst96|dffs [4] & ((!\addr~combout [1])))) - .dataa(\inst|inst4|inst101|dffs [4]), - .datab(\inst|inst4|inst103|dffs [4]), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~17_combout ), + .dataa(\addr~combout [0]), + .datab(\inst|inst4|inst96|dffs [4]), + .datac(\inst|inst4|inst99|dffs [4]), .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~18_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~17_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~18 .lut_mask = 16'hCAF0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~18 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~17 .lut_mask = 16'hAAE4; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~17 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y15_N0 +// Location: LCCOMB_X25_Y12_N12 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~19 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~19_combout = (\addr~combout [0] & (((\inst|inst4|inst42|dffs [4]) # (\addr~combout [1])))) # (!\addr~combout [0] & (\inst|inst4|inst41|dffs [4] & ((!\addr~combout [1])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~19_combout = (\addr~combout [0] & ((\inst|inst4|inst42|dffs [4]) # ((\addr~combout [1])))) # (!\addr~combout [0] & (((\inst|inst4|inst41|dffs [4] & !\addr~combout [1])))) - .dataa(\inst|inst4|inst41|dffs [4]), + .dataa(\addr~combout [0]), .datab(\inst|inst4|inst42|dffs [4]), - .datac(\addr~combout [0]), + .datac(\inst|inst4|inst41|dffs [4]), .datad(\addr~combout [1]), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~19_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~19 .lut_mask = 16'hF0CA; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~19 .lut_mask = 16'hAAD8; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~19 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y15_N26 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~20 ( +// Location: LCCOMB_X22_Y15_N6 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~10 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~20_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~19_combout & (\inst|inst4|inst47|dffs [4])) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~19_combout & ((\inst|inst4|inst45|dffs [4]))))) # (!\addr~combout [1] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~19_combout )) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~10_combout = (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst59|dffs [4]))) # (!\addr~combout [0] & (\inst|inst4|inst57|dffs [4])))) - .dataa(\addr~combout [1]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~19_combout ), - .datac(\inst|inst4|inst47|dffs [4]), - .datad(\inst|inst4|inst45|dffs [4]), + .dataa(\inst|inst4|inst57|dffs [4]), + .datab(\addr~combout [1]), + .datac(\inst|inst4|inst59|dffs [4]), + .datad(\addr~combout [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~20_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~10_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~20 .lut_mask = 16'hE6C4; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~20 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~10 .lut_mask = 16'h3022; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~10 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y18_N8 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~21 ( +// Location: LCCOMB_X22_Y15_N12 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~13 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~21_combout = (\addr~combout [1] & (((\addr~combout [0])))) # (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst35|dffs [4]))) # (!\addr~combout [0] & (\inst|inst4|inst33|dffs [4])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~13_combout = (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst51|dffs [4]))) # (!\addr~combout [0] & (\inst|inst4|inst49|dffs [4])))) - .dataa(\addr~combout [1]), - .datab(\inst|inst4|inst33|dffs [4]), - .datac(\addr~combout [0]), - .datad(\inst|inst4|inst35|dffs [4]), + .dataa(\inst|inst4|inst49|dffs [4]), + .datab(\addr~combout [1]), + .datac(\inst|inst4|inst51|dffs [4]), + .datad(\addr~combout [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~21_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~13_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~21 .lut_mask = 16'hF4A4; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~21 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~13 .lut_mask = 16'h3022; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~13 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y18_N2 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~22 ( +// Location: LCCOMB_X26_Y10_N12 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~23 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~22_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~21_combout & (((\inst|inst4|inst39|dffs [4]) # (!\addr~combout [1])))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~21_combout & (\inst|inst4|inst37|dffs [4] & ((\addr~combout [1])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~23_combout = (\addr~combout [0] & ((\inst|inst4|inst75|dffs [4]) # ((\addr~combout [1])))) # (!\addr~combout [0] & (((\inst|inst4|inst73|dffs [4] & !\addr~combout [1])))) - .dataa(\inst|inst4|inst37|dffs [4]), - .datab(\inst|inst4|inst39|dffs [4]), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~21_combout ), + .dataa(\inst|inst4|inst75|dffs [4]), + .datab(\inst|inst4|inst73|dffs [4]), + .datac(\addr~combout [0]), .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~22_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~23_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~22 .lut_mask = 16'hCAF0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~22 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~23 .lut_mask = 16'hF0AC; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~23 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y18_N4 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~9 ( +// Location: LCCOMB_X26_Y10_N22 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~24 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~9_combout = (!\addr~combout [3] & ((\addr~combout [2] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~20_combout )) # (!\addr~combout [2] & -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~22_combout ))))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~24_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~23_combout & (((\inst|inst4|inst79|dffs [4]) # (!\addr~combout [1])))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~23_combout & (\inst|inst4|inst77|dffs [4] & ((\addr~combout [1])))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~20_combout ), - .datab(\addr~combout [3]), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~22_combout ), - .datad(\addr~combout [2]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~23_combout ), + .datab(\inst|inst4|inst77|dffs [4]), + .datac(\inst|inst4|inst79|dffs [4]), + .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~9_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~24_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~9 .lut_mask = 16'h2230; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~9 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~24 .lut_mask = 16'hE4AA; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~24 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y18_N22 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~10 ( +// Location: LCCOMB_X26_Y12_N26 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~25 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~10_combout = (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst59|dffs [4])) # (!\addr~combout [0] & ((\inst|inst4|inst57|dffs [4]))))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~25_combout = (\addr~combout [5] & (!\addr~combout [3] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~24_combout & \addr~combout [2]))) - .dataa(\inst|inst4|inst59|dffs [4]), - .datab(\inst|inst4|inst57|dffs [4]), - .datac(\addr~combout [0]), - .datad(\addr~combout [1]), + .dataa(\addr~combout [5]), + .datab(\addr~combout [3]), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~24_combout ), + .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~10_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~25_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~10 .lut_mask = 16'h00AC; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~10 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~25 .lut_mask = 16'h2000; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~25 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y18_N24 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~11 ( +// Location: LCCOMB_X22_Y12_N12 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~26 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~11_combout = (\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst63|dffs [4]))) # (!\addr~combout [0] & (\inst|inst4|inst61|dffs [4])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~26_combout = (\addr~combout [0] & (((\inst|inst4|inst67|dffs [4]) # (\addr~combout [1])))) # (!\addr~combout [0] & (\inst|inst4|inst65|dffs [4] & ((!\addr~combout [1])))) - .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst61|dffs [4]), - .datac(\inst|inst4|inst63|dffs [4]), + .dataa(\inst|inst4|inst65|dffs [4]), + .datab(\addr~combout [0]), + .datac(\inst|inst4|inst67|dffs [4]), .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~11_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~26_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~11 .lut_mask = 16'hE400; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~11 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~26 .lut_mask = 16'hCCE2; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~26 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y18_N10 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~12 ( +// Location: LCCOMB_X22_Y12_N6 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~27 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~12_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~10_combout ) # -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~11_combout ))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~27_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~26_combout & ((\inst|inst4|inst71|dffs [4]))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~26_combout & (\inst|inst4|inst68|dffs [4])))) # (!\addr~combout [1] & (((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~26_combout )))) - .dataa(vcc), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~10_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~11_combout ), - .datad(\addr~combout [2]), + .dataa(\inst|inst4|inst68|dffs [4]), + .datab(\addr~combout [1]), + .datac(\inst|inst4|inst71|dffs [4]), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~26_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~12_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~27_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~12 .lut_mask = 16'hFC00; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~12 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~27 .lut_mask = 16'hF388; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~27 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y18_N20 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~13 ( +// Location: LCCOMB_X26_Y12_N28 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~28 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~13_combout = (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst51|dffs [4])) # (!\addr~combout [0] & ((\inst|inst4|inst49|dffs [4]))))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~28_combout = (\addr~combout [5] & ((\addr~combout [4]) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~27_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout )))) - .dataa(\addr~combout [1]), - .datab(\inst|inst4|inst51|dffs [4]), - .datac(\addr~combout [0]), - .datad(\inst|inst4|inst49|dffs [4]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~27_combout ), + .datab(\addr~combout [4]), + .datac(\addr~combout [5]), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~13_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~28_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~13 .lut_mask = 16'h4540; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~13 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~28 .lut_mask = 16'hE0C0; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~28 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y18_N14 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~14 ( +// Location: LCCOMB_X29_Y10_N16 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~29 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~14_combout = (\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst54|dffs [4]))) # (!\addr~combout [0] & (\inst|inst4|inst53|dffs [4])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~29_combout = (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst91|dffs [4]))) # (!\addr~combout [0] & (\inst|inst4|inst89|dffs [4])))) - .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst53|dffs [4]), - .datac(\inst|inst4|inst54|dffs [4]), - .datad(\addr~combout [1]), + .dataa(\inst|inst4|inst89|dffs [4]), + .datab(\inst|inst4|inst91|dffs [4]), + .datac(\addr~combout [1]), + .datad(\addr~combout [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~14_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~29_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~14 .lut_mask = 16'hE400; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~14 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~29 .lut_mask = 16'h0C0A; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~29 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y18_N0 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~15 ( +// Location: LCCOMB_X29_Y10_N18 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~30 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~15_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~12_combout ) # ((!\addr~combout [2] & -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~13_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~14_combout )))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~30_combout = (\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst95|dffs [4]))) # (!\addr~combout [0] & (\inst|inst4|inst93|dffs [4])))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~13_combout ), - .datab(\addr~combout [2]), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~14_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~12_combout ), + .dataa(\addr~combout [0]), + .datab(\inst|inst4|inst93|dffs [4]), + .datac(\addr~combout [1]), + .datad(\inst|inst4|inst95|dffs [4]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~15_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~30_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~15 .lut_mask = 16'hFF32; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~15 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~30 .lut_mask = 16'hE040; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~30 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y18_N2 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~16 ( +// Location: LCCOMB_X29_Y10_N12 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~31 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~16_combout = (\addr~combout [4] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~9_combout ) # ((\addr~combout [3] & -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~15_combout )))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~31_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~29_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~30_combout ))) - .dataa(\addr~combout [4]), - .datab(\addr~combout [3]), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~9_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~15_combout ), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~29_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~30_combout ), + .datac(vcc), + .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~16_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~31_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~16 .lut_mask = 16'hA8A0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~16 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~31 .lut_mask = 16'hEE00; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~31 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y16_N16 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~26 ( +// Location: LCCOMB_X26_Y10_N16 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~32 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~26_combout = (\addr~combout [0] & ((\inst|inst4|inst67|dffs [4]) # ((\addr~combout [1])))) # (!\addr~combout [0] & (((\inst|inst4|inst65|dffs [4] & !\addr~combout [1])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~32_combout = (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst82|dffs [4]))) # (!\addr~combout [0] & (\inst|inst4|inst81|dffs [4])))) .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst67|dffs [4]), - .datac(\inst|inst4|inst65|dffs [4]), + .datab(\inst|inst4|inst81|dffs [4]), + .datac(\inst|inst4|inst82|dffs [4]), .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~26_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~32_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~26 .lut_mask = 16'hAAD8; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~26 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~32 .lut_mask = 16'h00E4; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~32 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y16_N26 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~27 ( +// Location: LCCOMB_X26_Y10_N26 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~33 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~27_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~26_combout & (((\inst|inst4|inst71|dffs [4])) # (!\addr~combout [1]))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~26_combout & (\addr~combout [1] & (\inst|inst4|inst68|dffs [4]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~33_combout = (\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst87|dffs [4]))) # (!\addr~combout [0] & (\inst|inst4|inst85|dffs [4])))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~26_combout ), - .datab(\addr~combout [1]), - .datac(\inst|inst4|inst68|dffs [4]), - .datad(\inst|inst4|inst71|dffs [4]), + .dataa(\inst|inst4|inst85|dffs [4]), + .datab(\inst|inst4|inst87|dffs [4]), + .datac(\addr~combout [0]), + .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~27_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~33_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~27 .lut_mask = 16'hEA62; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~27 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~33 .lut_mask = 16'hCA00; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~33 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y16_N28 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~28 ( +// Location: LCCOMB_X26_Y10_N28 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~34 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~28_combout = (\addr~combout [5] & ((\addr~combout [4]) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~27_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout )))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~34_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~31_combout ) # ((!\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~33_combout ) # +// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~32_combout )))) - .dataa(\addr~combout [5]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~27_combout ), - .datac(\addr~combout [4]), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout ), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~31_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~33_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~32_combout ), + .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~28_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~34_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~28 .lut_mask = 16'hA8A0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~28 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~34 .lut_mask = 16'hAAFE; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~34 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y17_N24 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~29 ( +// Location: LCCOMB_X26_Y12_N0 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~36 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~29_combout = (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst91|dffs [4]))) # (!\addr~combout [0] & (\inst|inst4|inst89|dffs [4])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~36_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~25_combout ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~28_combout ) # +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~34_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout ))) - .dataa(\inst|inst4|inst89|dffs [4]), - .datab(\inst|inst4|inst91|dffs [4]), - .datac(\addr~combout [0]), - .datad(\addr~combout [1]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~25_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~28_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~34_combout ), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~29_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~36_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~29 .lut_mask = 16'h00CA; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~29 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~36 .lut_mask = 16'hFEEE; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~36 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X36_Y15_N20 +// Location: LCCOMB_X34_Y13_N8 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~37 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~37_combout = (\addr~combout [0] & (((\addr~combout [1]) # (\inst|inst4|inst11|dffs [3])))) # (!\addr~combout [0] & (\inst|inst4|inst9|dffs [3] & (!\addr~combout [1]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~37_combout = (\addr~combout [0] & ((\inst|inst4|inst11|dffs [3]) # ((\addr~combout [1])))) # (!\addr~combout [0] & (((\inst|inst4|inst9|dffs [3] & !\addr~combout [1])))) - .dataa(\inst|inst4|inst9|dffs [3]), - .datab(\addr~combout [0]), - .datac(\addr~combout [1]), - .datad(\inst|inst4|inst11|dffs [3]), + .dataa(\inst|inst4|inst11|dffs [3]), + .datab(\inst|inst4|inst9|dffs [3]), + .datac(\addr~combout [0]), + .datad(\addr~combout [1]), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~37_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~37 .lut_mask = 16'hCEC2; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~37 .lut_mask = 16'hF0AC; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~37 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X36_Y15_N30 +// Location: LCCOMB_X34_Y13_N18 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~38 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~38_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~37_combout & (\inst|inst4|inst14|dffs [3])) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~37_combout & ((\inst|inst4|inst13|dffs [3]))))) # (!\addr~combout [1] & (((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~37_combout )))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~38_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~37_combout & (((\inst|inst4|inst14|dffs [3]) # (!\addr~combout [1])))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~37_combout & (\inst|inst4|inst13|dffs [3] & ((\addr~combout [1])))) - .dataa(\inst|inst4|inst14|dffs [3]), - .datab(\inst|inst4|inst13|dffs [3]), - .datac(\addr~combout [1]), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~37_combout ), + .dataa(\inst|inst4|inst13|dffs [3]), + .datab(\inst|inst4|inst14|dffs [3]), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~37_combout ), + .datad(\addr~combout [1]), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~38_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~38 .lut_mask = 16'hAFC0; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~38 .lut_mask = 16'hCAF0; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~38 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y16_N12 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~19 ( +// Location: LCCOMB_X36_Y13_N28 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~39 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~19_combout = (\addr~combout [2] & ((\addr~combout [0] & (\inst|inst4|inst27|dffs [3])) # (!\addr~combout [0] & ((\inst|inst4|inst25|dffs [3]))))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~39_combout = (\addr~combout [0] & (((\inst|inst4|inst2|dffs [3]) # (\addr~combout [1])))) # (!\addr~combout [0] & (\inst|inst4|inst|dffs [3] & ((!\addr~combout [1])))) .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst27|dffs [3]), - .datac(\addr~combout [2]), - .datad(\inst|inst4|inst25|dffs [3]), + .datab(\inst|inst4|inst|dffs [3]), + .datac(\inst|inst4|inst2|dffs [3]), + .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~19_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~39_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~19 .lut_mask = 16'hD080; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~19 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~39 .lut_mask = 16'hAAE4; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~39 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y16_N30 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~20 ( +// Location: LCCOMB_X36_Y13_N22 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~40 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~20_combout = (!\addr~combout [2] & ((\addr~combout [0] & ((\inst|inst4|inst19|dffs [3]))) # (!\addr~combout [0] & (\inst|inst4|inst17|dffs [3])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~40_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~39_combout & (\inst|inst4|inst7|dffs [3])) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~39_combout & ((\inst|inst4|inst5|dffs [3]))))) # (!\addr~combout [1] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~39_combout )) - .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst17|dffs [3]), - .datac(\addr~combout [2]), - .datad(\inst|inst4|inst19|dffs [3]), + .dataa(\addr~combout [1]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~39_combout ), + .datac(\inst|inst4|inst7|dffs [3]), + .datad(\inst|inst4|inst5|dffs [3]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~20_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~40_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~20 .lut_mask = 16'h0E04; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~20 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~40 .lut_mask = 16'hE6C4; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~40 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y16_N8 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~21 ( +// Location: LCCOMB_X26_Y12_N10 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~18 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~21_combout = (!\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~19_combout ) # -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~20_combout ))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~18_combout = (!\addr~combout [3] & ((\addr~combout [2] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~38_combout )) # (!\addr~combout [2] & +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~40_combout ))))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~19_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~20_combout ), - .datac(vcc), - .datad(\addr~combout [1]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~38_combout ), + .datab(\addr~combout [3]), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~40_combout ), + .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~21_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~18_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~21 .lut_mask = 16'h00EE; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~21 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~18 .lut_mask = 16'h2230; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~18 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y16_N2 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~22 ( +// Location: LCCOMB_X33_Y15_N12 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~23 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~22_combout = (\addr~combout [2] & ((\addr~combout [0] & ((\inst|inst4|inst31|dffs [3]))) # (!\addr~combout [0] & (\inst|inst4|inst28|dffs [3])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~23_combout = (!\addr~combout [2] & ((\addr~combout [0] & ((\inst|inst4|inst23|dffs [3]))) # (!\addr~combout [0] & (\inst|inst4|inst21|dffs [3])))) - .dataa(\inst|inst4|inst28|dffs [3]), - .datab(\inst|inst4|inst31|dffs [3]), + .dataa(\inst|inst4|inst21|dffs [3]), + .datab(\inst|inst4|inst23|dffs [3]), .datac(\addr~combout [2]), .datad(\addr~combout [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~22_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~23_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~22 .lut_mask = 16'hC0A0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~22 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~23 .lut_mask = 16'h0C0A; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~23 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y16_N28 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~23 ( +// Location: LCCOMB_X30_Y13_N4 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~41 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~23_combout = (!\addr~combout [2] & ((\addr~combout [0] & (\inst|inst4|inst23|dffs [3])) # (!\addr~combout [0] & ((\inst|inst4|inst21|dffs [3]))))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~41_combout = (\addr~combout [0] & ((\inst|inst4|inst99|dffs [3]) # ((\addr~combout [1])))) # (!\addr~combout [0] & (((\inst|inst4|inst96|dffs [3] & !\addr~combout [1])))) - .dataa(\inst|inst4|inst23|dffs [3]), - .datab(\inst|inst4|inst21|dffs [3]), - .datac(\addr~combout [2]), - .datad(\addr~combout [0]), + .dataa(\inst|inst4|inst99|dffs [3]), + .datab(\inst|inst4|inst96|dffs [3]), + .datac(\addr~combout [0]), + .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~23_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~41_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~23 .lut_mask = 16'h0A0C; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~23 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~41 .lut_mask = 16'hF0AC; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~41 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y16_N6 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~24 ( +// Location: LCCOMB_X29_Y14_N6 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~42 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~24_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~21_combout ) # ((\addr~combout [1] & -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~22_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~23_combout )))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~42_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~41_combout & (\inst|inst4|inst103|dffs [3])) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~41_combout & ((\inst|inst4|inst101|dffs [3]))))) # (!\addr~combout [1] & (((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~41_combout )))) .dataa(\addr~combout [1]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~22_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~21_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~23_combout ), + .datab(\inst|inst4|inst103|dffs [3]), + .datac(\inst|inst4|inst101|dffs [3]), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~41_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~24_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~42_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~24 .lut_mask = 16'hFAF8; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~24 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~42 .lut_mask = 16'hDDA0; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~42 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y18_N12 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~41 ( +// Location: LCCOMB_X24_Y11_N28 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~43 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~41_combout = (\addr~combout [0] & (((\inst|inst4|inst99|dffs [3]) # (\addr~combout [1])))) # (!\addr~combout [0] & (\inst|inst4|inst96|dffs [3] & ((!\addr~combout [1])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~43_combout = (\addr~combout [0] & ((\inst|inst4|inst42|dffs [3]) # ((\addr~combout [1])))) # (!\addr~combout [0] & (((\inst|inst4|inst41|dffs [3] & !\addr~combout [1])))) - .dataa(\inst|inst4|inst96|dffs [3]), - .datab(\inst|inst4|inst99|dffs [3]), - .datac(\addr~combout [0]), + .dataa(\addr~combout [0]), + .datab(\inst|inst4|inst42|dffs [3]), + .datac(\inst|inst4|inst41|dffs [3]), .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~41_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~43_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~41 .lut_mask = 16'hF0CA; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~41 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~43 .lut_mask = 16'hAAD8; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~43 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y19_N12 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~43 ( +// Location: LCCOMB_X24_Y11_N30 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~44 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~43_combout = (\addr~combout [0] & ((\inst|inst4|inst42|dffs [3]) # ((\addr~combout [1])))) # (!\addr~combout [0] & (((\inst|inst4|inst41|dffs [3] & !\addr~combout [1])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~44_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~43_combout & ((\inst|inst4|inst47|dffs [3]) # ((!\addr~combout [1])))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~43_combout & (((\inst|inst4|inst45|dffs [3] & \addr~combout [1])))) - .dataa(\inst|inst4|inst42|dffs [3]), - .datab(\inst|inst4|inst41|dffs [3]), - .datac(\addr~combout [0]), + .dataa(\inst|inst4|inst47|dffs [3]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~43_combout ), + .datac(\inst|inst4|inst45|dffs [3]), .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~43_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~44_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~43 .lut_mask = 16'hF0AC; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~43 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~44 .lut_mask = 16'hB8CC; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~44 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y18_N4 +// Location: LCCOMB_X24_Y11_N0 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~45 ( // Equation(s): // \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~45_combout = (\addr~combout [0] & (((\inst|inst4|inst35|dffs [3]) # (\addr~combout [1])))) # (!\addr~combout [0] & (\inst|inst4|inst33|dffs [3] & ((!\addr~combout [1])))) - .dataa(\inst|inst4|inst33|dffs [3]), - .datab(\addr~combout [0]), + .dataa(\addr~combout [0]), + .datab(\inst|inst4|inst33|dffs [3]), .datac(\inst|inst4|inst35|dffs [3]), .datad(\addr~combout [1]), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~45_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~45 .lut_mask = 16'hCCE2; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~45 .lut_mask = 16'hAAE4; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~45 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y18_N22 +// Location: LCCOMB_X24_Y11_N10 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~46 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~46_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~45_combout & (((\inst|inst4|inst39|dffs [3]) # (!\addr~combout [1])))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~45_combout & (\inst|inst4|inst37|dffs [3] & ((\addr~combout [1])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~46_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~45_combout & (\inst|inst4|inst39|dffs [3])) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~45_combout & ((\inst|inst4|inst37|dffs [3]))))) # (!\addr~combout [1] & (((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~45_combout )))) - .dataa(\inst|inst4|inst37|dffs [3]), + .dataa(\addr~combout [1]), .datab(\inst|inst4|inst39|dffs [3]), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~45_combout ), - .datad(\addr~combout [1]), + .datac(\inst|inst4|inst37|dffs [3]), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~45_combout ), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~46_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~46 .lut_mask = 16'hCAF0; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~46 .lut_mask = 16'hDDA0; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~46 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y19_N10 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~27 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~27_combout = (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst59|dffs [3])) # (!\addr~combout [0] & ((\inst|inst4|inst57|dffs [3]))))) - - .dataa(\inst|inst4|inst59|dffs [3]), - .datab(\inst|inst4|inst57|dffs [3]), - .datac(\addr~combout [0]), - .datad(\addr~combout [1]), - .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~27_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~27 .lut_mask = 16'h00AC; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~27 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X36_Y17_N14 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~31 ( +// Location: LCCOMB_X24_Y11_N20 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~26 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~31_combout = (\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst54|dffs [3]))) # (!\addr~combout [0] & (\inst|inst4|inst53|dffs [3])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~26_combout = (!\addr~combout [3] & ((\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~44_combout ))) # (!\addr~combout [2] & +// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~46_combout )))) - .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst53|dffs [3]), - .datac(\inst|inst4|inst54|dffs [3]), - .datad(\addr~combout [1]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~46_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~44_combout ), + .datac(\addr~combout [3]), + .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~31_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~26_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~31 .lut_mask = 16'hE400; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~31 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~26 .lut_mask = 16'h0C0A; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~26 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y17_N2 +// Location: LCCOMB_X27_Y11_N8 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~47 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~47_combout = (\addr~combout [1] & (((\addr~combout [0])))) # (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst75|dffs [3])) # (!\addr~combout [0] & ((\inst|inst4|inst73|dffs [3]))))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~47_combout = (\addr~combout [1] & (((\addr~combout [0])))) # (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst75|dffs [3]))) # (!\addr~combout [0] & (\inst|inst4|inst73|dffs [3])))) - .dataa(\inst|inst4|inst75|dffs [3]), + .dataa(\addr~combout [1]), .datab(\inst|inst4|inst73|dffs [3]), - .datac(\addr~combout [1]), + .datac(\inst|inst4|inst75|dffs [3]), .datad(\addr~combout [0]), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~47_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~47 .lut_mask = 16'hFA0C; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~47 .lut_mask = 16'hFA44; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~47 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y17_N20 +// Location: LCCOMB_X27_Y11_N2 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~48 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~48_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~47_combout & ((\inst|inst4|inst79|dffs [3]) # ((!\addr~combout [1])))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~47_combout & (((\addr~combout [1] & \inst|inst4|inst77|dffs [3])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~48_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~47_combout & (((\inst|inst4|inst79|dffs [3]) # (!\addr~combout [1])))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~47_combout & (\inst|inst4|inst77|dffs [3] & ((\addr~combout [1])))) - .dataa(\inst|inst4|inst79|dffs [3]), + .dataa(\inst|inst4|inst77|dffs [3]), .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~47_combout ), - .datac(\addr~combout [1]), - .datad(\inst|inst4|inst77|dffs [3]), + .datac(\inst|inst4|inst79|dffs [3]), + .datad(\addr~combout [1]), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~48_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~48 .lut_mask = 16'hBC8C; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~48 .lut_mask = 16'hE2CC; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~48 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y16_N10 +// Location: LCCOMB_X26_Y12_N12 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~49 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~49_combout = (\addr~combout [5] & (\addr~combout [2] & (!\addr~combout [3] & \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~48_combout ))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~49_combout = (\addr~combout [5] & (!\addr~combout [3] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~48_combout & \addr~combout [2]))) .dataa(\addr~combout [5]), - .datab(\addr~combout [2]), - .datac(\addr~combout [3]), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~48_combout ), + .datab(\addr~combout [3]), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~48_combout ), + .datad(\addr~combout [2]), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~49_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~49 .lut_mask = 16'h0800; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~49 .lut_mask = 16'h2000; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~49 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y16_N12 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~50 ( +// Location: LCCOMB_X27_Y11_N12 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~56 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~50_combout = (\addr~combout [0] & ((\inst|inst4|inst67|dffs [3]) # ((\addr~combout [1])))) # (!\addr~combout [0] & (((\inst|inst4|inst65|dffs [3] & !\addr~combout [1])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~56_combout = (\addr~combout [0] & ((\addr~combout [1] & (\inst|inst4|inst87|dffs [3])) # (!\addr~combout [1] & ((\inst|inst4|inst82|dffs [3]))))) - .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst67|dffs [3]), - .datac(\inst|inst4|inst65|dffs [3]), - .datad(\addr~combout [1]), + .dataa(\addr~combout [1]), + .datab(\inst|inst4|inst87|dffs [3]), + .datac(\inst|inst4|inst82|dffs [3]), + .datad(\addr~combout [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~50_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~56_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~50 .lut_mask = 16'hAAD8; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~50 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~56 .lut_mask = 16'hD800; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~56 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y16_N14 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~51 ( +// Location: LCCOMB_X34_Y13_N12 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~60 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~51_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~50_combout & (((\inst|inst4|inst71|dffs [3]) # (!\addr~combout [1])))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~50_combout & (\inst|inst4|inst68|dffs [3] & (\addr~combout [1]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~60_combout = (\addr~combout [0] & ((\inst|inst4|inst11|dffs [2]) # ((\addr~combout [1])))) # (!\addr~combout [0] & (((\inst|inst4|inst9|dffs [2] & !\addr~combout [1])))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~50_combout ), - .datab(\inst|inst4|inst68|dffs [3]), - .datac(\addr~combout [1]), - .datad(\inst|inst4|inst71|dffs [3]), + .dataa(\inst|inst4|inst11|dffs [2]), + .datab(\inst|inst4|inst9|dffs [2]), + .datac(\addr~combout [0]), + .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~51_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~60_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~51 .lut_mask = 16'hEA4A; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~51 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~60 .lut_mask = 16'hF0AC; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~60 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y16_N20 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~52 ( +// Location: LCCOMB_X34_Y13_N14 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~61 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~52_combout = (\addr~combout [5] & ((\addr~combout [4]) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~51_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout )))) - - .dataa(\addr~combout [5]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~51_combout ), - .datac(\addr~combout [4]), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout ), - .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~52_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~52 .lut_mask = 16'hA8A0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~52 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X29_Y17_N16 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~53 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~53_combout = (\addr~combout [0] & ((\addr~combout [1] & (\inst|inst4|inst95|dffs [3])) # (!\addr~combout [1] & ((\inst|inst4|inst91|dffs [3]))))) - - .dataa(\inst|inst4|inst95|dffs [3]), - .datab(\inst|inst4|inst91|dffs [3]), - .datac(\addr~combout [0]), - .datad(\addr~combout [1]), - .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~53_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~53 .lut_mask = 16'hA0C0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~53 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X29_Y17_N26 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~54 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~54_combout = (!\addr~combout [0] & ((\addr~combout [1] & (\inst|inst4|inst93|dffs [3])) # (!\addr~combout [1] & ((\inst|inst4|inst89|dffs [3]))))) - - .dataa(\addr~combout [1]), - .datab(\inst|inst4|inst93|dffs [3]), - .datac(\addr~combout [0]), - .datad(\inst|inst4|inst89|dffs [3]), - .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~54_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~54 .lut_mask = 16'h0D08; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~54 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X29_Y17_N4 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~55 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~55_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~54_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~53_combout ))) - - .dataa(vcc), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~54_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~53_combout ), - .datad(\addr~combout [2]), - .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~55_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~55 .lut_mask = 16'hFC00; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~55 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X29_Y17_N6 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~56 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~56_combout = (\addr~combout [0] & ((\addr~combout [1] & (\inst|inst4|inst87|dffs [3])) # (!\addr~combout [1] & ((\inst|inst4|inst82|dffs [3]))))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~61_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~60_combout & (((\inst|inst4|inst14|dffs [2]) # (!\addr~combout [1])))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~60_combout & (\inst|inst4|inst13|dffs [2] & ((\addr~combout [1])))) - .dataa(\inst|inst4|inst87|dffs [3]), - .datab(\inst|inst4|inst82|dffs [3]), - .datac(\addr~combout [0]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~60_combout ), + .datab(\inst|inst4|inst13|dffs [2]), + .datac(\inst|inst4|inst14|dffs [2]), .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~56_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~56 .lut_mask = 16'hA0C0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~56 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X29_Y17_N24 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~57 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~57_combout = (!\addr~combout [0] & ((\addr~combout [1] & ((\inst|inst4|inst85|dffs [3]))) # (!\addr~combout [1] & (\inst|inst4|inst81|dffs [3])))) - - .dataa(\addr~combout [1]), - .datab(\inst|inst4|inst81|dffs [3]), - .datac(\addr~combout [0]), - .datad(\inst|inst4|inst85|dffs [3]), - .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~57_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~57 .lut_mask = 16'h0E04; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~57 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X29_Y17_N2 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~58 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~58_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~55_combout ) # ((!\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~56_combout ) # -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~57_combout )))) - - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~56_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~55_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~57_combout ), - .datad(\addr~combout [2]), - .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~58_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~58 .lut_mask = 16'hCCFE; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~58 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X30_Y16_N6 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~59 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~59_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~49_combout ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~52_combout ) # -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~58_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout ))) - - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~49_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~58_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~52_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout ), - .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~59_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~59 .lut_mask = 16'hFEFA; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~59 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X32_Y15_N8 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~60 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~60_combout = (\addr~combout [1] & (((\addr~combout [0])))) # (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst11|dffs [2])) # (!\addr~combout [0] & ((\inst|inst4|inst9|dffs [2]))))) - - .dataa(\inst|inst4|inst11|dffs [2]), - .datab(\inst|inst4|inst9|dffs [2]), - .datac(\addr~combout [1]), - .datad(\addr~combout [0]), - .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~60_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~60 .lut_mask = 16'hFA0C; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~60 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X32_Y15_N2 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~61 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~61_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~60_combout & ((\inst|inst4|inst14|dffs [2]) # ((!\addr~combout [1])))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~60_combout & (((\addr~combout [1] & \inst|inst4|inst13|dffs [2])))) - - .dataa(\inst|inst4|inst14|dffs [2]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~60_combout ), - .datac(\addr~combout [1]), - .datad(\inst|inst4|inst13|dffs [2]), - .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~61_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~61 .lut_mask = 16'hBC8C; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~61 .lut_mask = 16'hE4AA; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~61 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y14_N24 +// Location: LCCOMB_X36_Y13_N0 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~62 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~62_combout = (\addr~combout [1] & (((\addr~combout [0])))) # (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst2|dffs [2]))) # (!\addr~combout [0] & (\inst|inst4|inst|dffs [2])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~62_combout = (\addr~combout [0] & (((\inst|inst4|inst2|dffs [2]) # (\addr~combout [1])))) # (!\addr~combout [0] & (\inst|inst4|inst|dffs [2] & ((!\addr~combout [1])))) - .dataa(\inst|inst4|inst|dffs [2]), - .datab(\inst|inst4|inst2|dffs [2]), - .datac(\addr~combout [1]), - .datad(\addr~combout [0]), + .dataa(\addr~combout [0]), + .datab(\inst|inst4|inst|dffs [2]), + .datac(\inst|inst4|inst2|dffs [2]), + .datad(\addr~combout [1]), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~62_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~62 .lut_mask = 16'hFC0A; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~62 .lut_mask = 16'hAAE4; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~62 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y14_N10 +// Location: LCCOMB_X36_Y13_N10 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~63 ( // Equation(s): // \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~63_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~62_combout & ((\inst|inst4|inst7|dffs [2]) # ((!\addr~combout [1])))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~62_combout & (((\addr~combout [1] & \inst|inst4|inst5|dffs [2])))) +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~62_combout & (((\inst|inst4|inst5|dffs [2] & \addr~combout [1])))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~62_combout ), - .datab(\inst|inst4|inst7|dffs [2]), - .datac(\addr~combout [1]), - .datad(\inst|inst4|inst5|dffs [2]), + .dataa(\inst|inst4|inst7|dffs [2]), + .datab(\inst|inst4|inst5|dffs [2]), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~62_combout ), + .datad(\addr~combout [1]), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~63_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~63 .lut_mask = 16'hDA8A; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~63 .lut_mask = 16'hACF0; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~63 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y16_N2 +// Location: LCCOMB_X27_Y13_N0 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~35 ( // Equation(s): // \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~35_combout = (!\addr~combout [3] & ((\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~61_combout ))) # (!\addr~combout [2] & @@ -5795,76 +5913,76 @@ defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~35 defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~35 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y16_N0 +// Location: LCCOMB_X31_Y13_N24 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~36 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~36_combout = (\addr~combout [2] & ((\addr~combout [1] & ((\inst|inst4|inst31|dffs [2]))) # (!\addr~combout [1] & (\inst|inst4|inst27|dffs [2])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~36_combout = (\addr~combout [2] & ((\addr~combout [1] & (\inst|inst4|inst31|dffs [2])) # (!\addr~combout [1] & ((\inst|inst4|inst27|dffs [2]))))) - .dataa(\inst|inst4|inst27|dffs [2]), - .datab(\addr~combout [2]), - .datac(\inst|inst4|inst31|dffs [2]), - .datad(\addr~combout [1]), + .dataa(\addr~combout [1]), + .datab(\inst|inst4|inst31|dffs [2]), + .datac(\inst|inst4|inst27|dffs [2]), + .datad(\addr~combout [2]), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~36_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~36 .lut_mask = 16'hC088; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~36 .lut_mask = 16'hD800; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~36 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y16_N10 +// Location: LCCOMB_X31_Y13_N2 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~37 ( // Equation(s): // \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~37_combout = (!\addr~combout [2] & ((\addr~combout [1] & (\inst|inst4|inst23|dffs [2])) # (!\addr~combout [1] & ((\inst|inst4|inst19|dffs [2]))))) .dataa(\addr~combout [1]), .datab(\inst|inst4|inst23|dffs [2]), - .datac(\addr~combout [2]), - .datad(\inst|inst4|inst19|dffs [2]), + .datac(\inst|inst4|inst19|dffs [2]), + .datad(\addr~combout [2]), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~37_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~37 .lut_mask = 16'h0D08; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~37 .lut_mask = 16'h00D8; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~37 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y16_N4 +// Location: LCCOMB_X31_Y13_N20 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~38 ( // Equation(s): // \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~38_combout = (\addr~combout [0] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~37_combout ) # // (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~36_combout ))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~37_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~36_combout ), - .datac(vcc), - .datad(\addr~combout [0]), + .dataa(\addr~combout [0]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~37_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~36_combout ), + .datad(vcc), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~38_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~38 .lut_mask = 16'hEE00; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~38 .lut_mask = 16'hA8A8; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~38 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y16_N28 +// Location: LCCOMB_X32_Y13_N20 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~39 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~39_combout = (\addr~combout [2] & ((\addr~combout [1] & ((\inst|inst4|inst28|dffs [2]))) # (!\addr~combout [1] & (\inst|inst4|inst25|dffs [2])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~39_combout = (\addr~combout [2] & ((\addr~combout [1] & (\inst|inst4|inst28|dffs [2])) # (!\addr~combout [1] & ((\inst|inst4|inst25|dffs [2]))))) - .dataa(\inst|inst4|inst25|dffs [2]), - .datab(\inst|inst4|inst28|dffs [2]), - .datac(\addr~combout [1]), - .datad(\addr~combout [2]), + .dataa(\inst|inst4|inst28|dffs [2]), + .datab(\inst|inst4|inst25|dffs [2]), + .datac(\addr~combout [2]), + .datad(\addr~combout [1]), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~39_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~39 .lut_mask = 16'hCA00; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~39 .lut_mask = 16'hA0C0; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~39 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y16_N22 +// Location: LCCOMB_X27_Y13_N18 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~40 ( // Equation(s): // \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~40_combout = (!\addr~combout [2] & ((\addr~combout [1] & ((\inst|inst4|inst21|dffs [2]))) # (!\addr~combout [1] & (\inst|inst4|inst17|dffs [2])))) @@ -5881,564 +5999,529 @@ defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~40 defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~40 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y16_N0 +// Location: LCCOMB_X27_Y13_N12 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~41 ( // Equation(s): // \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~41_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~38_combout ) # ((!\addr~combout [0] & // ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~39_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~40_combout )))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~38_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~39_combout ), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~39_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~38_combout ), .datac(\addr~combout [0]), .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~40_combout ), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~41_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~41 .lut_mask = 16'hAFAE; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~41 .lut_mask = 16'hCFCE; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~41 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y16_N10 +// Location: LCCOMB_X27_Y13_N22 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~42 ( // Equation(s): // \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~42_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~35_combout ) # -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~41_combout & \addr~combout [3])))) +// ((\addr~combout [3] & \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~41_combout )))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~41_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout ), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~35_combout ), .datac(\addr~combout [3]), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~35_combout ), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~41_combout ), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~42_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~42 .lut_mask = 16'hCC80; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~42 .lut_mask = 16'hA888; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~42 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y18_N0 +// Location: LCCOMB_X29_Y13_N12 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~64 ( // Equation(s): // \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~64_combout = (\addr~combout [0] & ((\inst|inst4|inst99|dffs [2]) # ((\addr~combout [1])))) # (!\addr~combout [0] & (((\inst|inst4|inst96|dffs [2] & !\addr~combout [1])))) - .dataa(\inst|inst4|inst99|dffs [2]), - .datab(\addr~combout [0]), + .dataa(\addr~combout [0]), + .datab(\inst|inst4|inst99|dffs [2]), .datac(\inst|inst4|inst96|dffs [2]), .datad(\addr~combout [1]), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~64_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~64 .lut_mask = 16'hCCB8; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~64 .lut_mask = 16'hAAD8; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~64 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y18_N26 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~65 ( +// Location: LCCOMB_X23_Y14_N6 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~48 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~65_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~64_combout & (((\inst|inst4|inst103|dffs [2]) # (!\addr~combout [1])))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~64_combout & (\inst|inst4|inst101|dffs [2] & (\addr~combout [1]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~48_combout = (\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst54|dffs [2])) # (!\addr~combout [0] & ((\inst|inst4|inst53|dffs [2]))))) - .dataa(\inst|inst4|inst101|dffs [2]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~64_combout ), - .datac(\addr~combout [1]), - .datad(\inst|inst4|inst103|dffs [2]), + .dataa(\inst|inst4|inst54|dffs [2]), + .datab(\inst|inst4|inst53|dffs [2]), + .datac(\addr~combout [0]), + .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~65_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~48_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~65 .lut_mask = 16'hEC2C; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~65 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~48 .lut_mask = 16'hAC00; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~48 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y17_N0 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~66 ( +// Location: LCCOMB_X29_Y11_N12 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~76 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~66_combout = (\addr~combout [0] & ((\addr~combout [1]) # ((\inst|inst4|inst42|dffs [2])))) # (!\addr~combout [0] & (!\addr~combout [1] & ((\inst|inst4|inst41|dffs [2])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~76_combout = (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst91|dffs [2]))) # (!\addr~combout [0] & (\inst|inst4|inst89|dffs [2])))) .dataa(\addr~combout [0]), - .datab(\addr~combout [1]), - .datac(\inst|inst4|inst42|dffs [2]), - .datad(\inst|inst4|inst41|dffs [2]), - .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~66_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~66 .lut_mask = 16'hB9A8; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~66 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X31_Y17_N18 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~67 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~67_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~66_combout & (\inst|inst4|inst47|dffs [2])) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~66_combout & ((\inst|inst4|inst45|dffs [2]))))) # (!\addr~combout [1] & (((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~66_combout )))) - - .dataa(\inst|inst4|inst47|dffs [2]), - .datab(\inst|inst4|inst45|dffs [2]), + .datab(\inst|inst4|inst89|dffs [2]), .datac(\addr~combout [1]), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~66_combout ), - .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~67_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~67 .lut_mask = 16'hAFC0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~67 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X33_Y18_N24 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~68 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~68_combout = (\addr~combout [0] & (((\inst|inst4|inst35|dffs [2]) # (\addr~combout [1])))) # (!\addr~combout [0] & (\inst|inst4|inst33|dffs [2] & ((!\addr~combout [1])))) - - .dataa(\inst|inst4|inst33|dffs [2]), - .datab(\addr~combout [0]), - .datac(\inst|inst4|inst35|dffs [2]), - .datad(\addr~combout [1]), + .datad(\inst|inst4|inst91|dffs [2]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~68_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~76_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~68 .lut_mask = 16'hCCE2; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~68 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~76 .lut_mask = 16'h0E04; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~76 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y18_N18 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~69 ( +// Location: LCCOMB_X27_Y13_N16 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~77 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~69_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~68_combout & (((\inst|inst4|inst39|dffs [2]) # (!\addr~combout [1])))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~68_combout & (\inst|inst4|inst37|dffs [2] & ((\addr~combout [1])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~77_combout = (\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst95|dffs [2]))) # (!\addr~combout [0] & (\inst|inst4|inst93|dffs [2])))) - .dataa(\inst|inst4|inst37|dffs [2]), - .datab(\inst|inst4|inst39|dffs [2]), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~68_combout ), - .datad(\addr~combout [1]), + .dataa(\inst|inst4|inst93|dffs [2]), + .datab(\inst|inst4|inst95|dffs [2]), + .datac(\addr~combout [1]), + .datad(\addr~combout [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~69_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~77_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~69 .lut_mask = 16'hCAF0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~69 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~77 .lut_mask = 16'hC0A0; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~77 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y17_N12 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~43 ( +// Location: LCCOMB_X27_Y13_N10 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~78 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~43_combout = (!\addr~combout [3] & ((\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~67_combout ))) # (!\addr~combout [2] & -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~69_combout )))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~78_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~76_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~77_combout ))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~69_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~67_combout ), - .datac(\addr~combout [3]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~76_combout ), + .datab(vcc), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~77_combout ), .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~43_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~43 .lut_mask = 16'h0C0A; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~43 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X36_Y18_N6 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~44 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~44_combout = (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst59|dffs [2]))) # (!\addr~combout [0] & (\inst|inst4|inst57|dffs [2])))) - - .dataa(\inst|inst4|inst57|dffs [2]), - .datab(\inst|inst4|inst59|dffs [2]), - .datac(\addr~combout [0]), - .datad(\addr~combout [1]), - .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~44_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~44 .lut_mask = 16'h00CA; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~44 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X35_Y18_N8 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~45 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~45_combout = (\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst63|dffs [2])) # (!\addr~combout [0] & ((\inst|inst4|inst61|dffs [2]))))) - - .dataa(\inst|inst4|inst63|dffs [2]), - .datab(\inst|inst4|inst61|dffs [2]), - .datac(\addr~combout [0]), - .datad(\addr~combout [1]), - .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~45_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~78_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~45 .lut_mask = 16'hAC00; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~45 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~78 .lut_mask = 16'hFA00; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~78 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y17_N6 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~46 ( +// Location: LCCOMB_X27_Y13_N28 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~79 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~46_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~44_combout ) # -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~45_combout ))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~79_combout = (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst82|dffs [2])) # (!\addr~combout [0] & ((\inst|inst4|inst81|dffs [2]))))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~44_combout ), - .datab(vcc), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~45_combout ), - .datad(\addr~combout [2]), + .dataa(\inst|inst4|inst82|dffs [2]), + .datab(\inst|inst4|inst81|dffs [2]), + .datac(\addr~combout [1]), + .datad(\addr~combout [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~46_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~79_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~46 .lut_mask = 16'hFA00; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~46 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~79 .lut_mask = 16'h0A0C; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~79 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y16_N0 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~73 ( +// Location: LCCOMB_X27_Y13_N6 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~80 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~73_combout = (\addr~combout [1] & (((\addr~combout [0])))) # (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst67|dffs [2])) # (!\addr~combout [0] & ((\inst|inst4|inst65|dffs [2]))))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~80_combout = (\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst87|dffs [2]))) # (!\addr~combout [0] & (\inst|inst4|inst85|dffs [2])))) - .dataa(\inst|inst4|inst67|dffs [2]), - .datab(\inst|inst4|inst65|dffs [2]), + .dataa(\inst|inst4|inst85|dffs [2]), + .datab(\inst|inst4|inst87|dffs [2]), .datac(\addr~combout [1]), .datad(\addr~combout [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~73_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~80_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~73 .lut_mask = 16'hFA0C; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~73 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~80 .lut_mask = 16'hC0A0; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~80 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y16_N18 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~74 ( +// Location: LCCOMB_X27_Y13_N24 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~81 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~74_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~73_combout & (\inst|inst4|inst71|dffs [2])) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~73_combout & ((\inst|inst4|inst68|dffs [2]))))) # (!\addr~combout [1] & (((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~73_combout )))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~81_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~78_combout ) # ((!\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~79_combout ) # +// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~80_combout )))) - .dataa(\inst|inst4|inst71|dffs [2]), - .datab(\inst|inst4|inst68|dffs [2]), - .datac(\addr~combout [1]), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~73_combout ), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~78_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~79_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~80_combout ), + .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~74_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~81_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~74 .lut_mask = 16'hAFC0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~74 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~81 .lut_mask = 16'hAAFE; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~81 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y17_N16 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~75 ( +// Location: LCCOMB_X35_Y14_N10 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~83 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~75_combout = (\addr~combout [5] & ((\addr~combout [4]) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~74_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout )))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~83_combout = (\addr~combout [0] & ((\inst|inst4|inst11|dffs [5]) # ((\addr~combout [1])))) # (!\addr~combout [0] & (((\inst|inst4|inst9|dffs [5] & !\addr~combout [1])))) - .dataa(\addr~combout [5]), - .datab(\addr~combout [4]), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~74_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout ), + .dataa(\inst|inst4|inst11|dffs [5]), + .datab(\inst|inst4|inst9|dffs [5]), + .datac(\addr~combout [0]), + .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~75_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~83_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~75 .lut_mask = 16'hA888; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~75 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~83 .lut_mask = 16'hF0AC; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~83 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y17_N16 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~76 ( +// Location: LCCOMB_X35_Y14_N20 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~84 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~76_combout = (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst91|dffs [2]))) # (!\addr~combout [0] & (\inst|inst4|inst89|dffs [2])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~84_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~83_combout & ((\inst|inst4|inst14|dffs [5]))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~83_combout & (\inst|inst4|inst13|dffs [5])))) # (!\addr~combout [1] & (((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~83_combout )))) - .dataa(\addr~combout [1]), - .datab(\inst|inst4|inst89|dffs [2]), - .datac(\inst|inst4|inst91|dffs [2]), - .datad(\addr~combout [0]), + .dataa(\inst|inst4|inst13|dffs [5]), + .datab(\addr~combout [1]), + .datac(\inst|inst4|inst14|dffs [5]), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~83_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~76_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~84_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~76 .lut_mask = 16'h5044; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~76 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~84 .lut_mask = 16'hF388; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~84 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y17_N28 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~79 ( +// Location: LCCOMB_X35_Y14_N6 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~85 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~79_combout = (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst82|dffs [2]))) # (!\addr~combout [0] & (\inst|inst4|inst81|dffs [2])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~85_combout = (\addr~combout [0] & (((\inst|inst4|inst2|dffs [5]) # (\addr~combout [1])))) # (!\addr~combout [0] & (\inst|inst4|inst|dffs [5] & ((!\addr~combout [1])))) - .dataa(\addr~combout [1]), - .datab(\inst|inst4|inst81|dffs [2]), + .dataa(\inst|inst4|inst|dffs [5]), + .datab(\inst|inst4|inst2|dffs [5]), .datac(\addr~combout [0]), - .datad(\inst|inst4|inst82|dffs [2]), + .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~79_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~85_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~79 .lut_mask = 16'h5404; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~79 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~85 .lut_mask = 16'hF0CA; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~85 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X36_Y15_N0 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~83 ( +// Location: LCCOMB_X35_Y14_N0 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~86 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~83_combout = (\addr~combout [0] & (((\addr~combout [1]) # (\inst|inst4|inst11|dffs [5])))) # (!\addr~combout [0] & (\inst|inst4|inst9|dffs [5] & (!\addr~combout [1]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~86_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~85_combout & (((\inst|inst4|inst7|dffs [5]) # (!\addr~combout [1])))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~85_combout & (\inst|inst4|inst5|dffs [5] & ((\addr~combout [1])))) - .dataa(\inst|inst4|inst9|dffs [5]), - .datab(\addr~combout [0]), - .datac(\addr~combout [1]), - .datad(\inst|inst4|inst11|dffs [5]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~85_combout ), + .datab(\inst|inst4|inst5|dffs [5]), + .datac(\inst|inst4|inst7|dffs [5]), + .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~83_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~86_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~83 .lut_mask = 16'hCEC2; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~83 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~86 .lut_mask = 16'hE4AA; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~86 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X36_Y15_N18 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~84 ( +// Location: LCCOMB_X27_Y12_N18 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~52 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~84_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~83_combout & ((\inst|inst4|inst14|dffs [5]))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~83_combout & (\inst|inst4|inst13|dffs [5])))) # (!\addr~combout [1] & (((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~83_combout )))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~52_combout = (!\addr~combout [3] & ((\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~84_combout ))) # (!\addr~combout [2] & +// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~86_combout )))) - .dataa(\inst|inst4|inst13|dffs [5]), - .datab(\inst|inst4|inst14|dffs [5]), - .datac(\addr~combout [1]), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~83_combout ), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~86_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~84_combout ), + .datac(\addr~combout [3]), + .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~84_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~52_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~84 .lut_mask = 16'hCFA0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~84 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~52 .lut_mask = 16'h0C0A; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~52 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y16_N20 +// Location: LCCOMB_X31_Y12_N8 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~53 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~53_combout = (\addr~combout [0] & ((\addr~combout [1] & ((\inst|inst4|inst31|dffs [5]))) # (!\addr~combout [1] & (\inst|inst4|inst27|dffs [5])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~53_combout = (\addr~combout [0] & ((\addr~combout [1] & (\inst|inst4|inst31|dffs [5])) # (!\addr~combout [1] & ((\inst|inst4|inst27|dffs [5]))))) - .dataa(\inst|inst4|inst27|dffs [5]), + .dataa(\inst|inst4|inst31|dffs [5]), .datab(\addr~combout [0]), - .datac(\inst|inst4|inst31|dffs [5]), - .datad(\addr~combout [1]), + .datac(\addr~combout [1]), + .datad(\inst|inst4|inst27|dffs [5]), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~53_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~53 .lut_mask = 16'hC088; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~53 .lut_mask = 16'h8C80; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~53 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y16_N22 +// Location: LCCOMB_X31_Y12_N26 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~54 ( // Equation(s): // \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~54_combout = (!\addr~combout [0] & ((\addr~combout [1] & ((\inst|inst4|inst28|dffs [5]))) # (!\addr~combout [1] & (\inst|inst4|inst25|dffs [5])))) - .dataa(\addr~combout [1]), - .datab(\inst|inst4|inst25|dffs [5]), - .datac(\inst|inst4|inst28|dffs [5]), - .datad(\addr~combout [0]), + .dataa(\inst|inst4|inst25|dffs [5]), + .datab(\addr~combout [0]), + .datac(\addr~combout [1]), + .datad(\inst|inst4|inst28|dffs [5]), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~54_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~54 .lut_mask = 16'h00E4; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~54 .lut_mask = 16'h3202; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~54 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y16_N8 +// Location: LCCOMB_X31_Y12_N20 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~55 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~55_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~53_combout ) # -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~54_combout ))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~55_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~54_combout ) # +// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~53_combout ))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~53_combout ), + .dataa(vcc), .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~54_combout ), - .datac(\addr~combout [2]), - .datad(vcc), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~53_combout ), + .datad(\addr~combout [2]), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~55_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~55 .lut_mask = 16'hE0E0; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~55 .lut_mask = 16'hFC00; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~55 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y16_N22 +// Location: LCCOMB_X32_Y13_N30 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~56 ( // Equation(s): // \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~56_combout = (\addr~combout [0] & ((\addr~combout [1] & (\inst|inst4|inst23|dffs [5])) # (!\addr~combout [1] & ((\inst|inst4|inst19|dffs [5]))))) - .dataa(\addr~combout [1]), - .datab(\inst|inst4|inst23|dffs [5]), + .dataa(\inst|inst4|inst23|dffs [5]), + .datab(\addr~combout [0]), .datac(\inst|inst4|inst19|dffs [5]), - .datad(\addr~combout [0]), + .datad(\addr~combout [1]), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~56_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~56 .lut_mask = 16'hD800; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~56 .lut_mask = 16'h88C0; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~56 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y15_N2 +// Location: LCCOMB_X33_Y12_N6 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~57 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~57_combout = (!\addr~combout [0] & ((\addr~combout [1] & ((\inst|inst4|inst21|dffs [5]))) # (!\addr~combout [1] & (\inst|inst4|inst17|dffs [5])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~57_combout = (!\addr~combout [0] & ((\addr~combout [1] & (\inst|inst4|inst21|dffs [5])) # (!\addr~combout [1] & ((\inst|inst4|inst17|dffs [5]))))) - .dataa(\addr~combout [1]), - .datab(\inst|inst4|inst17|dffs [5]), - .datac(\inst|inst4|inst21|dffs [5]), - .datad(\addr~combout [0]), + .dataa(\addr~combout [0]), + .datab(\inst|inst4|inst21|dffs [5]), + .datac(\addr~combout [1]), + .datad(\inst|inst4|inst17|dffs [5]), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~57_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~57 .lut_mask = 16'h00E4; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~57 .lut_mask = 16'h4540; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~57 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y16_N20 +// Location: LCCOMB_X27_Y12_N4 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~58 ( // Equation(s): // \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~58_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~55_combout ) # ((!\addr~combout [2] & // ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~57_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~56_combout )))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~55_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~57_combout ), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~57_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~55_combout ), .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~56_combout ), .datad(\addr~combout [2]), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~58_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~58 .lut_mask = 16'hAAFE; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~58 .lut_mask = 16'hCCFE; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~58 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y18_N0 +// Location: LCCOMB_X27_Y12_N6 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~59 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~59_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~52_combout ) # +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~58_combout & \addr~combout [3])))) + + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~58_combout ), + .datac(\addr~combout [3]), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~52_combout ), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~59_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~59 .lut_mask = 16'hAA80; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~59 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y12_N0 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~87 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~87_combout = (\addr~combout [0] & (((\inst|inst4|inst99|dffs [5]) # (\addr~combout [1])))) # (!\addr~combout [0] & (\inst|inst4|inst96|dffs [5] & ((!\addr~combout [1])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~87_combout = (\addr~combout [0] & (((\addr~combout [1]) # (\inst|inst4|inst99|dffs [5])))) # (!\addr~combout [0] & (\inst|inst4|inst96|dffs [5] & (!\addr~combout [1]))) - .dataa(\inst|inst4|inst96|dffs [5]), - .datab(\inst|inst4|inst99|dffs [5]), - .datac(\addr~combout [0]), - .datad(\addr~combout [1]), + .dataa(\addr~combout [0]), + .datab(\inst|inst4|inst96|dffs [5]), + .datac(\addr~combout [1]), + .datad(\inst|inst4|inst99|dffs [5]), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~87_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~87 .lut_mask = 16'hF0CA; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~87 .lut_mask = 16'hAEA4; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~87 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y18_N10 +// Location: LCCOMB_X33_Y12_N10 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~88 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~88_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~87_combout & ((\inst|inst4|inst103|dffs [5]) # ((!\addr~combout [1])))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~87_combout & (((\inst|inst4|inst101|dffs [5] & \addr~combout [1])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~88_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~87_combout & ((\inst|inst4|inst103|dffs [5]))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~87_combout & (\inst|inst4|inst101|dffs [5])))) # (!\addr~combout [1] & (((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~87_combout )))) - .dataa(\inst|inst4|inst103|dffs [5]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~87_combout ), - .datac(\inst|inst4|inst101|dffs [5]), - .datad(\addr~combout [1]), + .dataa(\inst|inst4|inst101|dffs [5]), + .datab(\inst|inst4|inst103|dffs [5]), + .datac(\addr~combout [1]), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~87_combout ), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~88_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~88 .lut_mask = 16'hB8CC; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~88 .lut_mask = 16'hCFA0; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~88 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y17_N6 +// Location: LCCOMB_X24_Y12_N18 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~89 ( // Equation(s): // \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~89_combout = (\addr~combout [0] & (((\inst|inst4|inst42|dffs [5]) # (\addr~combout [1])))) # (!\addr~combout [0] & (\inst|inst4|inst41|dffs [5] & ((!\addr~combout [1])))) - .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst41|dffs [5]), - .datac(\inst|inst4|inst42|dffs [5]), + .dataa(\inst|inst4|inst41|dffs [5]), + .datab(\inst|inst4|inst42|dffs [5]), + .datac(\addr~combout [0]), .datad(\addr~combout [1]), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~89_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~89 .lut_mask = 16'hAAE4; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~89 .lut_mask = 16'hF0CA; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~89 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y16_N28 +// Location: LCCOMB_X24_Y12_N28 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~90 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~90_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~89_combout & (\inst|inst4|inst47|dffs [5])) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~89_combout & ((\inst|inst4|inst45|dffs [5]))))) # (!\addr~combout [1] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~89_combout )) + + .dataa(\addr~combout [1]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~89_combout ), + .datac(\inst|inst4|inst47|dffs [5]), + .datad(\inst|inst4|inst45|dffs [5]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~90_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~90 .lut_mask = 16'hE6C4; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~90 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X23_Y10_N28 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~61 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~61_combout = (\addr~combout [0] & ((\addr~combout [1] & (\inst|inst4|inst63|dffs [5])) # (!\addr~combout [1] & ((\inst|inst4|inst59|dffs [5]))))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~61_combout = (\addr~combout [0] & ((\addr~combout [1] & ((\inst|inst4|inst63|dffs [5]))) # (!\addr~combout [1] & (\inst|inst4|inst59|dffs [5])))) - .dataa(\addr~combout [0]), + .dataa(\inst|inst4|inst59|dffs [5]), .datab(\inst|inst4|inst63|dffs [5]), .datac(\addr~combout [1]), - .datad(\inst|inst4|inst59|dffs [5]), + .datad(\addr~combout [0]), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~61_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~61 .lut_mask = 16'h8A80; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~61 .lut_mask = 16'hCA00; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~61 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y18_N18 +// Location: LCCOMB_X23_Y10_N6 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~62 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~62_combout = (!\addr~combout [0] & ((\addr~combout [1] & (\inst|inst4|inst61|dffs [5])) # (!\addr~combout [1] & ((\inst|inst4|inst57|dffs [5]))))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~62_combout = (!\addr~combout [0] & ((\addr~combout [1] & ((\inst|inst4|inst61|dffs [5]))) # (!\addr~combout [1] & (\inst|inst4|inst57|dffs [5])))) - .dataa(\inst|inst4|inst61|dffs [5]), - .datab(\inst|inst4|inst57|dffs [5]), - .datac(\addr~combout [0]), - .datad(\addr~combout [1]), + .dataa(\inst|inst4|inst57|dffs [5]), + .datab(\inst|inst4|inst61|dffs [5]), + .datac(\addr~combout [1]), + .datad(\addr~combout [0]), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~62_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~62 .lut_mask = 16'h0A0C; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~62 .lut_mask = 16'h00CA; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~62 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y17_N10 +// Location: LCCOMB_X23_Y10_N16 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~63 ( // Equation(s): // \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~63_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~61_combout ) # // (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~62_combout ))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~61_combout ), - .datab(vcc), + .dataa(vcc), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~61_combout ), .datac(\addr~combout [2]), .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~62_combout ), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~63_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~63 .lut_mask = 16'hF0A0; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~63 .lut_mask = 16'hF0C0; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~63 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y17_N12 +// Location: LCCOMB_X23_Y14_N10 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~64 ( // Equation(s): // \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~64_combout = (\addr~combout [0] & ((\addr~combout [1] & (\inst|inst4|inst54|dffs [5])) # (!\addr~combout [1] & ((\inst|inst4|inst51|dffs [5]))))) .dataa(\inst|inst4|inst54|dffs [5]), - .datab(\inst|inst4|inst51|dffs [5]), - .datac(\addr~combout [0]), + .datab(\addr~combout [0]), + .datac(\inst|inst4|inst51|dffs [5]), .datad(\addr~combout [1]), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~64_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~64 .lut_mask = 16'hA0C0; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~64 .lut_mask = 16'h88C0; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~64 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y17_N14 +// Location: LCCOMB_X23_Y14_N4 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~65 ( // Equation(s): // \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~65_combout = (!\addr~combout [0] & ((\addr~combout [1] & ((\inst|inst4|inst53|dffs [5]))) # (!\addr~combout [1] & (\inst|inst4|inst49|dffs [5])))) @@ -6455,7 +6538,7 @@ defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~65 defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~65 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y17_N16 +// Location: LCCOMB_X23_Y14_N30 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~66 ( // Equation(s): // \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~66_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~63_combout ) # ((!\addr~combout [2] & @@ -6463,3763 +6546,3814 @@ cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~64_combout ), .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~65_combout ), - .datac(\addr~combout [2]), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~63_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~63_combout ), + .datad(\addr~combout [2]), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~66_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~66 .lut_mask = 16'hFF0E; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~66 .lut_mask = 16'hF0FE; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~66 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y16_N10 +// Location: LCCOMB_X23_Y10_N18 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~93 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~93_combout = (\addr~combout [0] & (((\addr~combout [1]) # (\inst|inst4|inst75|dffs [5])))) # (!\addr~combout [0] & (\inst|inst4|inst73|dffs [5] & (!\addr~combout [1]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~93_combout = (\addr~combout [1] & (((\addr~combout [0])))) # (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst75|dffs [5])) # (!\addr~combout [0] & ((\inst|inst4|inst73|dffs [5]))))) - .dataa(\inst|inst4|inst73|dffs [5]), - .datab(\addr~combout [0]), + .dataa(\inst|inst4|inst75|dffs [5]), + .datab(\inst|inst4|inst73|dffs [5]), .datac(\addr~combout [1]), - .datad(\inst|inst4|inst75|dffs [5]), + .datad(\addr~combout [0]), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~93_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~93 .lut_mask = 16'hCEC2; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~93 .lut_mask = 16'hFA0C; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~93 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y16_N4 +// Location: LCCOMB_X23_Y10_N20 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~94 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~94_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~93_combout & ((\inst|inst4|inst79|dffs [5]) # ((!\addr~combout [1])))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~93_combout & (((\addr~combout [1] & \inst|inst4|inst77|dffs [5])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~94_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~93_combout & ((\inst|inst4|inst79|dffs [5]))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~93_combout & (\inst|inst4|inst77|dffs [5])))) # (!\addr~combout [1] & (((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~93_combout )))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~93_combout ), + .dataa(\inst|inst4|inst77|dffs [5]), .datab(\inst|inst4|inst79|dffs [5]), .datac(\addr~combout [1]), - .datad(\inst|inst4|inst77|dffs [5]), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~93_combout ), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~94_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~94 .lut_mask = 16'hDA8A; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~94 .lut_mask = 16'hCFA0; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~94 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y16_N8 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~96 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~96_combout = (\addr~combout [0] & ((\inst|inst4|inst67|dffs [5]) # ((\addr~combout [1])))) # (!\addr~combout [0] & (((\inst|inst4|inst65|dffs [5] & !\addr~combout [1])))) - - .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst67|dffs [5]), - .datac(\inst|inst4|inst65|dffs [5]), - .datad(\addr~combout [1]), - .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~96_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~96 .lut_mask = 16'hAAD8; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~96 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X27_Y16_N10 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~97 ( +// Location: LCCOMB_X27_Y12_N12 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~95 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~97_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~96_combout & (((\inst|inst4|inst71|dffs [5]) # (!\addr~combout [1])))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~96_combout & (\inst|inst4|inst68|dffs [5] & ((\addr~combout [1])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~95_combout = (!\addr~combout [3] & (\addr~combout [5] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~94_combout & \addr~combout [2]))) - .dataa(\inst|inst4|inst68|dffs [5]), - .datab(\inst|inst4|inst71|dffs [5]), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~96_combout ), - .datad(\addr~combout [1]), + .dataa(\addr~combout [3]), + .datab(\addr~combout [5]), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~94_combout ), + .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~97_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~95_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~97 .lut_mask = 16'hCAF0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~97 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~95 .lut_mask = 16'h4000; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~95 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y17_N10 +// Location: LCCOMB_X30_Y11_N12 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~99 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~99_combout = (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst91|dffs [5])) # (!\addr~combout [0] & ((\inst|inst4|inst89|dffs [5]))))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~99_combout = (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst91|dffs [5]))) # (!\addr~combout [0] & (\inst|inst4|inst89|dffs [5])))) - .dataa(\addr~combout [1]), - .datab(\inst|inst4|inst91|dffs [5]), - .datac(\inst|inst4|inst89|dffs [5]), - .datad(\addr~combout [0]), + .dataa(\inst|inst4|inst89|dffs [5]), + .datab(\addr~combout [0]), + .datac(\inst|inst4|inst91|dffs [5]), + .datad(\addr~combout [1]), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~99_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~99 .lut_mask = 16'h4450; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~99 .lut_mask = 16'h00E2; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~99 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y17_N20 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~100 ( +// Location: LCCOMB_X30_Y11_N20 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~103 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~100_combout = (\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst95|dffs [5]))) # (!\addr~combout [0] & (\inst|inst4|inst93|dffs [5])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~103_combout = (\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst87|dffs [5])) # (!\addr~combout [0] & ((\inst|inst4|inst85|dffs [5]))))) - .dataa(\inst|inst4|inst93|dffs [5]), - .datab(\inst|inst4|inst95|dffs [5]), + .dataa(\inst|inst4|inst87|dffs [5]), + .datab(\inst|inst4|inst85|dffs [5]), .datac(\addr~combout [0]), .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~100_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~103_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~100 .lut_mask = 16'hCA00; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~100 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~103 .lut_mask = 16'hAC00; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~103 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y17_N30 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~101 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~101_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~99_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~100_combout ))) +// Location: LCCOMB_X33_Y14_N20 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~106 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~106_combout = (\addr~combout [1] & (((\addr~combout [0])))) # (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst11|dffs [1]))) # (!\addr~combout [0] & (\inst|inst4|inst9|dffs [1])))) - .dataa(vcc), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~99_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~100_combout ), - .datad(\addr~combout [2]), + .dataa(\inst|inst4|inst9|dffs [1]), + .datab(\inst|inst4|inst11|dffs [1]), + .datac(\addr~combout [1]), + .datad(\addr~combout [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~101_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~106_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~101 .lut_mask = 16'hFC00; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~101 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~106 .lut_mask = 16'hFC0A; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~106 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y17_N8 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~102 ( +// Location: LCCOMB_X36_Y14_N0 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~108 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~102_combout = (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst82|dffs [5]))) # (!\addr~combout [0] & (\inst|inst4|inst81|dffs [5])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~108_combout = (\addr~combout [0] & (((\addr~combout [1])))) # (!\addr~combout [0] & ((\addr~combout [1] & ((\inst|inst4|inst5|dffs [1]))) # (!\addr~combout [1] & (\inst|inst4|inst|dffs [1])))) - .dataa(\inst|inst4|inst81|dffs [5]), - .datab(\inst|inst4|inst82|dffs [5]), + .dataa(\inst|inst4|inst|dffs [1]), + .datab(\inst|inst4|inst5|dffs [1]), .datac(\addr~combout [0]), .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~102_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~108_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~102 .lut_mask = 16'h00CA; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~102 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~108 .lut_mask = 16'hFC0A; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~108 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y17_N10 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~103 ( +// Location: LCCOMB_X33_Y14_N24 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~109 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~103_combout = (\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst87|dffs [5])) # (!\addr~combout [0] & ((\inst|inst4|inst85|dffs [5]))))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~109_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~108_combout & ((\inst|inst4|inst7|dffs [1]) # ((!\addr~combout [0])))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~108_combout & (((\inst|inst4|inst2|dffs [1] & \addr~combout [0])))) - .dataa(\inst|inst4|inst87|dffs [5]), - .datab(\inst|inst4|inst85|dffs [5]), - .datac(\addr~combout [0]), - .datad(\addr~combout [1]), + .dataa(\inst|inst4|inst7|dffs [1]), + .datab(\inst|inst4|inst2|dffs [1]), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~108_combout ), + .datad(\addr~combout [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~103_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~109_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~103 .lut_mask = 16'hAC00; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~103 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~109 .lut_mask = 16'hACF0; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~109 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y17_N28 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~104 ( +// Location: LCCOMB_X26_Y14_N20 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~70 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~104_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~101_combout ) # ((!\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~103_combout ) # -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~102_combout )))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~70_combout = (\addr~combout [0] & ((\addr~combout [1] & ((\inst|inst4|inst31|dffs [1]))) # (!\addr~combout [1] & (\inst|inst4|inst27|dffs [1])))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~103_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~101_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~102_combout ), - .datad(\addr~combout [2]), + .dataa(\inst|inst4|inst27|dffs [1]), + .datab(\inst|inst4|inst31|dffs [1]), + .datac(\addr~combout [1]), + .datad(\addr~combout [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~104_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~70_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~104 .lut_mask = 16'hCCFE; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~104 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~70 .lut_mask = 16'hCA00; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~70 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X36_Y15_N12 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~106 ( +// Location: LCCOMB_X33_Y14_N12 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~73 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~106_combout = (\addr~combout [1] & (((\addr~combout [0])))) # (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst11|dffs [1]))) # (!\addr~combout [0] & (\inst|inst4|inst9|dffs [1])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~73_combout = (\addr~combout [0] & ((\addr~combout [1] & ((\inst|inst4|inst23|dffs [1]))) # (!\addr~combout [1] & (\inst|inst4|inst19|dffs [1])))) - .dataa(\inst|inst4|inst9|dffs [1]), - .datab(\inst|inst4|inst11|dffs [1]), - .datac(\addr~combout [1]), + .dataa(\inst|inst4|inst19|dffs [1]), + .datab(\addr~combout [1]), + .datac(\inst|inst4|inst23|dffs [1]), .datad(\addr~combout [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~106_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~73_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~106 .lut_mask = 16'hFC0A; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~106 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~73 .lut_mask = 16'hE200; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~73 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X36_Y15_N22 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~107 ( +// Location: LCCOMB_X26_Y14_N10 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~112 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~107_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~106_combout & (\inst|inst4|inst14|dffs [1])) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~106_combout & ((\inst|inst4|inst13|dffs [1]))))) # (!\addr~combout [1] & (((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~106_combout )))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~112_combout = (\addr~combout [1] & (((\addr~combout [0])))) # (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst42|dffs [1])) # (!\addr~combout [0] & ((\inst|inst4|inst41|dffs [1]))))) - .dataa(\inst|inst4|inst14|dffs [1]), - .datab(\inst|inst4|inst13|dffs [1]), - .datac(\addr~combout [1]), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~106_combout ), + .dataa(\inst|inst4|inst42|dffs [1]), + .datab(\addr~combout [1]), + .datac(\addr~combout [0]), + .datad(\inst|inst4|inst41|dffs [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~107_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~112_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~107 .lut_mask = 16'hAFC0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~107 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~112 .lut_mask = 16'hE3E0; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~112 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y15_N28 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~108 ( +// Location: LCCOMB_X26_Y14_N28 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~113 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~108_combout = (\addr~combout [1] & ((\inst|inst4|inst5|dffs [1]) # ((\addr~combout [0])))) # (!\addr~combout [1] & (((\inst|inst4|inst|dffs [1] & !\addr~combout [0])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~113_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~112_combout & ((\inst|inst4|inst47|dffs [1]))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~112_combout & (\inst|inst4|inst45|dffs [1])))) # (!\addr~combout [1] & (((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~112_combout )))) - .dataa(\inst|inst4|inst5|dffs [1]), - .datab(\inst|inst4|inst|dffs [1]), - .datac(\addr~combout [1]), - .datad(\addr~combout [0]), + .dataa(\inst|inst4|inst45|dffs [1]), + .datab(\addr~combout [1]), + .datac(\inst|inst4|inst47|dffs [1]), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~112_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~108_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~113_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~108 .lut_mask = 16'hF0AC; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~108 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~113 .lut_mask = 16'hF388; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~113 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y15_N22 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~109 ( +// Location: LCCOMB_X21_Y13_N10 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~81 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~109_combout = (\addr~combout [0] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~108_combout & (\inst|inst4|inst7|dffs [1])) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~108_combout & ((\inst|inst4|inst2|dffs [1]))))) # (!\addr~combout [0] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~108_combout )) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~81_combout = (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst51|dffs [1])) # (!\addr~combout [0] & ((\inst|inst4|inst49|dffs [1]))))) - .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~108_combout ), - .datac(\inst|inst4|inst7|dffs [1]), - .datad(\inst|inst4|inst2|dffs [1]), + .dataa(\inst|inst4|inst51|dffs [1]), + .datab(\inst|inst4|inst49|dffs [1]), + .datac(\addr~combout [1]), + .datad(\addr~combout [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~109_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~81_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~109 .lut_mask = 16'hE6C4; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~109 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~81 .lut_mask = 16'h0A0C; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~81 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y16_N16 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~69 ( +// Location: LCCOMB_X25_Y10_N26 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~116 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~69_combout = (!\addr~combout [3] & ((\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~107_combout ))) # (!\addr~combout [2] & -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~109_combout )))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~116_combout = (\addr~combout [0] & ((\inst|inst4|inst75|dffs [1]) # ((\addr~combout [1])))) # (!\addr~combout [0] & (((\inst|inst4|inst73|dffs [1] & !\addr~combout [1])))) - .dataa(\addr~combout [3]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~109_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~107_combout ), - .datad(\addr~combout [2]), + .dataa(\inst|inst4|inst75|dffs [1]), + .datab(\addr~combout [0]), + .datac(\inst|inst4|inst73|dffs [1]), + .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~69_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~116_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~69 .lut_mask = 16'h5044; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~69 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~116 .lut_mask = 16'hCCB8; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~116 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y16_N10 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~70 ( +// Location: LCCOMB_X25_Y10_N4 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~117 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~70_combout = (\addr~combout [0] & ((\addr~combout [1] & (\inst|inst4|inst31|dffs [1])) # (!\addr~combout [1] & ((\inst|inst4|inst27|dffs [1]))))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~117_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~116_combout & (((\inst|inst4|inst79|dffs [1]) # (!\addr~combout [1])))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~116_combout & (\inst|inst4|inst77|dffs [1] & ((\addr~combout [1])))) - .dataa(\addr~combout [1]), - .datab(\inst|inst4|inst31|dffs [1]), - .datac(\addr~combout [0]), - .datad(\inst|inst4|inst27|dffs [1]), + .dataa(\inst|inst4|inst77|dffs [1]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~116_combout ), + .datac(\inst|inst4|inst79|dffs [1]), + .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~70_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~117_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~70 .lut_mask = 16'hD080; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~70 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~117 .lut_mask = 16'hE2CC; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~117 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y16_N28 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~71 ( +// Location: LCCOMB_X26_Y13_N24 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~118 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~71_combout = (!\addr~combout [0] & ((\addr~combout [1] & (\inst|inst4|inst28|dffs [1])) # (!\addr~combout [1] & ((\inst|inst4|inst25|dffs [1]))))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~118_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~117_combout & (\addr~combout [5] & (!\addr~combout [3] & \addr~combout [2]))) - .dataa(\addr~combout [1]), - .datab(\addr~combout [0]), - .datac(\inst|inst4|inst28|dffs [1]), - .datad(\inst|inst4|inst25|dffs [1]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~117_combout ), + .datab(\addr~combout [5]), + .datac(\addr~combout [3]), + .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~71_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~118_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~71 .lut_mask = 16'h3120; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~71 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~118 .lut_mask = 16'h0800; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~118 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y16_N14 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~72 ( +// Location: LCCOMB_X29_Y11_N6 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~122 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~72_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~70_combout ) # -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~71_combout ))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~122_combout = (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst91|dffs [1])) # (!\addr~combout [0] & ((\inst|inst4|inst89|dffs [1]))))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~70_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~71_combout ), - .datac(\addr~combout [2]), - .datad(vcc), + .dataa(\addr~combout [0]), + .datab(\inst|inst4|inst91|dffs [1]), + .datac(\addr~combout [1]), + .datad(\inst|inst4|inst89|dffs [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~72_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~122_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~72 .lut_mask = 16'hE0E0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~72 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~122 .lut_mask = 16'h0D08; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~122 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y16_N8 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~73 ( +// Location: LCCOMB_X26_Y13_N16 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~126 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~73_combout = (\addr~combout [0] & ((\addr~combout [1] & (\inst|inst4|inst23|dffs [1])) # (!\addr~combout [1] & ((\inst|inst4|inst19|dffs [1]))))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~126_combout = (\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst87|dffs [1])) # (!\addr~combout [0] & ((\inst|inst4|inst85|dffs [1]))))) - .dataa(\inst|inst4|inst23|dffs [1]), - .datab(\addr~combout [0]), - .datac(\inst|inst4|inst19|dffs [1]), - .datad(\addr~combout [1]), + .dataa(\addr~combout [0]), + .datab(\inst|inst4|inst87|dffs [1]), + .datac(\addr~combout [1]), + .datad(\inst|inst4|inst85|dffs [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~73_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~126_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~73 .lut_mask = 16'h88C0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~73 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~126 .lut_mask = 16'hD080; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~126 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y15_N4 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~74 ( +// Location: LCCOMB_X37_Y14_N26 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~131 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~74_combout = (!\addr~combout [0] & ((\addr~combout [1] & (\inst|inst4|inst21|dffs [1])) # (!\addr~combout [1] & ((\inst|inst4|inst17|dffs [1]))))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~131_combout = (\addr~combout [1] & (((\addr~combout [0])))) # (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst2|dffs [0])) # (!\addr~combout [0] & ((\inst|inst4|inst|dffs [0]))))) - .dataa(\addr~combout [1]), - .datab(\inst|inst4|inst21|dffs [1]), - .datac(\inst|inst4|inst17|dffs [1]), - .datad(\addr~combout [0]), + .dataa(\inst|inst4|inst2|dffs [0]), + .datab(\addr~combout [1]), + .datac(\addr~combout [0]), + .datad(\inst|inst4|inst|dffs [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~74_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~131_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~74 .lut_mask = 16'h00D8; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~74 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~131 .lut_mask = 16'hE3E0; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~131 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y16_N26 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~75 ( +// Location: LCCOMB_X37_Y14_N12 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~132 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~75_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~72_combout ) # ((!\addr~combout [2] & -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~73_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~74_combout )))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~132_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~131_combout & ((\inst|inst4|inst7|dffs [0]))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~131_combout & (\inst|inst4|inst5|dffs [0])))) # (!\addr~combout [1] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~131_combout )) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~72_combout ), - .datab(\addr~combout [2]), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~73_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~74_combout ), + .dataa(\addr~combout [1]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~131_combout ), + .datac(\inst|inst4|inst5|dffs [0]), + .datad(\inst|inst4|inst7|dffs [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~75_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~132_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~75 .lut_mask = 16'hBBBA; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~75 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~132 .lut_mask = 16'hEC64; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~132 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y16_N10 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~76 ( +// Location: LCCOMB_X31_Y14_N12 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~87 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~76_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~69_combout ) # -// ((\addr~combout [3] & \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~75_combout )))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~87_combout = (\addr~combout [0] & ((\addr~combout [1] & (\inst|inst4|inst31|dffs [0])) # (!\addr~combout [1] & ((\inst|inst4|inst27|dffs [0]))))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~69_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout ), - .datac(\addr~combout [3]), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~75_combout ), + .dataa(\inst|inst4|inst31|dffs [0]), + .datab(\inst|inst4|inst27|dffs [0]), + .datac(\addr~combout [0]), + .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~76_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~87_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~76 .lut_mask = 16'hC888; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~76 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~87 .lut_mask = 16'hA0C0; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~87 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y18_N20 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~110 ( +// Location: LCCOMB_X37_Y14_N16 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~90 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~110_combout = (\addr~combout [0] & (((\inst|inst4|inst99|dffs [1]) # (\addr~combout [1])))) # (!\addr~combout [0] & (\inst|inst4|inst96|dffs [1] & ((!\addr~combout [1])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~90_combout = (\addr~combout [0] & ((\addr~combout [1] & (\inst|inst4|inst23|dffs [0])) # (!\addr~combout [1] & ((\inst|inst4|inst19|dffs [0]))))) - .dataa(\inst|inst4|inst96|dffs [1]), + .dataa(\inst|inst4|inst23|dffs [0]), .datab(\addr~combout [0]), - .datac(\inst|inst4|inst99|dffs [1]), + .datac(\inst|inst4|inst19|dffs [0]), .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~110_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~90_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~110 .lut_mask = 16'hCCE2; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~110 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~90 .lut_mask = 16'h88C0; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~90 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X37_Y18_N6 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~78 ( +// Location: LCCOMB_X30_Y13_N0 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~133 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~78_combout = (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst59|dffs [1]))) # (!\addr~combout [0] & (\inst|inst4|inst57|dffs [1])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~133_combout = (\addr~combout [0] & ((\inst|inst4|inst99|dffs [0]) # ((\addr~combout [1])))) # (!\addr~combout [0] & (((\inst|inst4|inst96|dffs [0] & !\addr~combout [1])))) .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst57|dffs [1]), - .datac(\addr~combout [1]), - .datad(\inst|inst4|inst59|dffs [1]), + .datab(\inst|inst4|inst99|dffs [0]), + .datac(\inst|inst4|inst96|dffs [0]), + .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~78_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~133_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~78 .lut_mask = 16'h0E04; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~78 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~133 .lut_mask = 16'hAAD8; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~133 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y16_N6 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~79 ( +// Location: LCCOMB_X29_Y14_N16 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~134 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~79_combout = (\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst63|dffs [1])) # (!\addr~combout [0] & ((\inst|inst4|inst61|dffs [1]))))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~134_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~133_combout & ((\inst|inst4|inst103|dffs [0]))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~133_combout & (\inst|inst4|inst101|dffs [0])))) # (!\addr~combout [1] & (((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~133_combout )))) - .dataa(\inst|inst4|inst63|dffs [1]), - .datab(\inst|inst4|inst61|dffs [1]), - .datac(\addr~combout [1]), - .datad(\addr~combout [0]), + .dataa(\addr~combout [1]), + .datab(\inst|inst4|inst101|dffs [0]), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~133_combout ), + .datad(\inst|inst4|inst103|dffs [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~79_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~134_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~79 .lut_mask = 16'hA0C0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~79 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~134 .lut_mask = 16'hF858; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~134 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y17_N6 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~80 ( +// Location: LCCOMB_X31_Y14_N30 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~137 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~80_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~79_combout ) # -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~78_combout ))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~137_combout = (\addr~combout [0] & ((\inst|inst4|inst35|dffs [0]) # ((\addr~combout [1])))) # (!\addr~combout [0] & (((\inst|inst4|inst33|dffs [0] & !\addr~combout [1])))) - .dataa(\addr~combout [2]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~79_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~78_combout ), - .datad(vcc), + .dataa(\inst|inst4|inst35|dffs [0]), + .datab(\addr~combout [0]), + .datac(\inst|inst4|inst33|dffs [0]), + .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~80_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~137_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~80 .lut_mask = 16'hA8A8; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~80 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~137 .lut_mask = 16'hCCB8; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~137 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y17_N8 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~81 ( +// Location: LCCOMB_X31_Y14_N16 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~138 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~81_combout = (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst51|dffs [1]))) # (!\addr~combout [0] & (\inst|inst4|inst49|dffs [1])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~138_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~137_combout & ((\inst|inst4|inst39|dffs [0]) # ((!\addr~combout [1])))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~137_combout & (((\inst|inst4|inst37|dffs [0] & \addr~combout [1])))) - .dataa(\addr~combout [1]), - .datab(\inst|inst4|inst49|dffs [1]), - .datac(\addr~combout [0]), - .datad(\inst|inst4|inst51|dffs [1]), + .dataa(\inst|inst4|inst39|dffs [0]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~137_combout ), + .datac(\inst|inst4|inst37|dffs [0]), + .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~81_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~138_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~81 .lut_mask = 16'h5404; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~81 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~138 .lut_mask = 16'hB8CC; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~138 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y17_N26 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~82 ( +// Location: LCCOMB_X24_Y14_N26 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~99 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~82_combout = (\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst54|dffs [1])) # (!\addr~combout [0] & ((\inst|inst4|inst53|dffs [1]))))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~99_combout = (\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst54|dffs [0])) # (!\addr~combout [0] & ((\inst|inst4|inst53|dffs [0]))))) - .dataa(\inst|inst4|inst54|dffs [1]), - .datab(\inst|inst4|inst53|dffs [1]), - .datac(\addr~combout [0]), + .dataa(\addr~combout [0]), + .datab(\inst|inst4|inst54|dffs [0]), + .datac(\inst|inst4|inst53|dffs [0]), .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~82_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~99_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~82 .lut_mask = 16'hAC00; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~82 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~99 .lut_mask = 16'hD800; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~99 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y17_N20 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~83 ( +// Location: LCCOMB_X29_Y11_N24 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~145 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~83_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~80_combout ) # ((!\addr~combout [2] & -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~82_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~81_combout )))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~145_combout = (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst91|dffs [0])) # (!\addr~combout [0] & ((\inst|inst4|inst89|dffs [0]))))) - .dataa(\addr~combout [2]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~82_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~81_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~80_combout ), + .dataa(\addr~combout [0]), + .datab(\inst|inst4|inst91|dffs [0]), + .datac(\addr~combout [1]), + .datad(\inst|inst4|inst89|dffs [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~83_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~145_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~83 .lut_mask = 16'hFF54; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~83 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~145 .lut_mask = 16'h0D08; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~145 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y16_N0 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~116 ( +// Location: LCCOMB_X29_Y12_N6 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~146 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~116_combout = (\addr~combout [0] & (((\inst|inst4|inst75|dffs [1]) # (\addr~combout [1])))) # (!\addr~combout [0] & (\inst|inst4|inst73|dffs [1] & ((!\addr~combout [1])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~146_combout = (\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst95|dffs [0]))) # (!\addr~combout [0] & (\inst|inst4|inst93|dffs [0])))) .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst73|dffs [1]), - .datac(\inst|inst4|inst75|dffs [1]), + .datab(\inst|inst4|inst93|dffs [0]), + .datac(\inst|inst4|inst95|dffs [0]), .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~116_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~146_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~116 .lut_mask = 16'hAAE4; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~116 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~146 .lut_mask = 16'hE400; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~146 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y17_N22 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~117 ( +// Location: LCCOMB_X27_Y14_N6 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~147 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~117_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~116_combout & (((\inst|inst4|inst79|dffs [1])) # (!\addr~combout [1]))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~116_combout & (\addr~combout [1] & (\inst|inst4|inst77|dffs [1]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~147_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~146_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~145_combout ))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~116_combout ), - .datab(\addr~combout [1]), - .datac(\inst|inst4|inst77|dffs [1]), - .datad(\inst|inst4|inst79|dffs [1]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~146_combout ), + .datab(vcc), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~145_combout ), + .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~117_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~147_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~117 .lut_mask = 16'hEA62; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~117 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~147 .lut_mask = 16'hFA00; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~147 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y17_N0 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~122 ( +// Location: LCCOMB_X27_Y19_N18 +cycloneii_lcell_comb \inst|inst5|inst5|inst3|inst3~1 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~122_combout = (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst91|dffs [1])) # (!\addr~combout [0] & ((\inst|inst4|inst89|dffs [1]))))) +// \inst|inst5|inst5|inst3|inst3~1_combout = (\inst|inst5|inst|inst5|inst2~2_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout +// ))) # (!\inst|inst5|inst|inst5|inst2~2_combout & (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout )) - .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst91|dffs [1]), - .datac(\inst|inst4|inst89|dffs [1]), - .datad(\addr~combout [1]), + .dataa(\inst|inst5|inst|inst5|inst2~2_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~122_combout ), + .combout(\inst|inst5|inst5|inst3|inst3~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~122 .lut_mask = 16'h00D8; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~122 .sum_lutc_input = "datac"; +defparam \inst|inst5|inst5|inst3|inst3~1 .lut_mask = 16'hB2B2; +defparam \inst|inst5|inst5|inst3|inst3~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y17_N2 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~123 ( +// Location: LCCOMB_X27_Y19_N12 +cycloneii_lcell_comb \inst|inst5|inst5|inst3|inst3~2 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~123_combout = (\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst95|dffs [1]))) # (!\addr~combout [0] & (\inst|inst4|inst93|dffs [1])))) +// \inst|inst5|inst5|inst3|inst3~2_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ) # (\inst|inst5|inst5|inst3|inst3~1_combout ))) +// # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & \inst|inst5|inst5|inst3|inst3~1_combout )) - .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst93|dffs [1]), - .datac(\inst|inst4|inst95|dffs [1]), - .datad(\addr~combout [1]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout ), + .datab(vcc), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), + .datad(\inst|inst5|inst5|inst3|inst3~1_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~123_combout ), + .combout(\inst|inst5|inst5|inst3|inst3~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~123 .lut_mask = 16'hE400; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~123 .sum_lutc_input = "datac"; +defparam \inst|inst5|inst5|inst3|inst3~2 .lut_mask = 16'hFAA0; +defparam \inst|inst5|inst5|inst3|inst3~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y17_N12 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~124 ( +// Location: LCCOMB_X34_Y11_N24 +cycloneii_lcell_comb \inst|inst1|auto_generated|aneb_result_wire[0]~3 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~124_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~122_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~123_combout ))) +// \inst|inst1|auto_generated|aneb_result_wire[0]~3_combout = (\inst|inst|auto_generated|safe_q [15]) # ((\inst|inst|auto_generated|safe_q [16]) # ((\inst|inst|auto_generated|safe_q [14]) # (\inst|inst|auto_generated|safe_q [13]))) - .dataa(vcc), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~122_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~123_combout ), - .datad(\addr~combout [2]), + .dataa(\inst|inst|auto_generated|safe_q [15]), + .datab(\inst|inst|auto_generated|safe_q [16]), + .datac(\inst|inst|auto_generated|safe_q [14]), + .datad(\inst|inst|auto_generated|safe_q [13]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~124_combout ), + .combout(\inst|inst1|auto_generated|aneb_result_wire[0]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~124 .lut_mask = 16'hFC00; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~124 .sum_lutc_input = "datac"; +defparam \inst|inst1|auto_generated|aneb_result_wire[0]~3 .lut_mask = 16'hFFFE; +defparam \inst|inst1|auto_generated|aneb_result_wire[0]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y15_N16 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~129 ( +// Location: LCCOMB_X34_Y12_N10 +cycloneii_lcell_comb \inst|inst1|auto_generated|aneb_result_wire[0]~7 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~129_combout = (\addr~combout [1] & (((\addr~combout [0])))) # (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst11|dffs [0])) # (!\addr~combout [0] & ((\inst|inst4|inst9|dffs [0]))))) +// \inst|inst1|auto_generated|aneb_result_wire[0]~7_combout = ((\inst|inst|auto_generated|safe_q [1]) # ((\inst|inst|auto_generated|safe_q [2]) # (\inst|inst|auto_generated|safe_q [3]))) # (!\inst|inst|auto_generated|safe_q [4]) - .dataa(\inst|inst4|inst11|dffs [0]), - .datab(\inst|inst4|inst9|dffs [0]), - .datac(\addr~combout [1]), - .datad(\addr~combout [0]), + .dataa(\inst|inst|auto_generated|safe_q [4]), + .datab(\inst|inst|auto_generated|safe_q [1]), + .datac(\inst|inst|auto_generated|safe_q [2]), + .datad(\inst|inst|auto_generated|safe_q [3]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~129_combout ), + .combout(\inst|inst1|auto_generated|aneb_result_wire[0]~7_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~129 .lut_mask = 16'hFA0C; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~129 .sum_lutc_input = "datac"; +defparam \inst|inst1|auto_generated|aneb_result_wire[0]~7 .lut_mask = 16'hFFFD; +defparam \inst|inst1|auto_generated|aneb_result_wire[0]~7 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y15_N26 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~130 ( +// Location: LCCOMB_X37_Y12_N24 +cycloneii_lcell_comb \inst|inst11|auto_generated|aneb_result_wire[0]~1 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~130_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~129_combout & (((\inst|inst4|inst14|dffs [0]) # (!\addr~combout [1])))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~129_combout & (\inst|inst4|inst13|dffs [0] & (\addr~combout [1]))) +// \inst|inst11|auto_generated|aneb_result_wire[0]~1_combout = (\inst|inst12|auto_generated|safe_q [15]) # ((\inst|inst12|auto_generated|safe_q [16]) # ((\inst|inst12|auto_generated|safe_q [14]) # (\inst|inst12|auto_generated|safe_q [13]))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~129_combout ), - .datab(\inst|inst4|inst13|dffs [0]), - .datac(\addr~combout [1]), - .datad(\inst|inst4|inst14|dffs [0]), + .dataa(\inst|inst12|auto_generated|safe_q [15]), + .datab(\inst|inst12|auto_generated|safe_q [16]), + .datac(\inst|inst12|auto_generated|safe_q [14]), + .datad(\inst|inst12|auto_generated|safe_q [13]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~130_combout ), + .combout(\inst|inst11|auto_generated|aneb_result_wire[0]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~130 .lut_mask = 16'hEA4A; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~130 .sum_lutc_input = "datac"; +defparam \inst|inst11|auto_generated|aneb_result_wire[0]~1 .lut_mask = 16'hFFFE; +defparam \inst|inst11|auto_generated|aneb_result_wire[0]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y15_N28 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~131 ( +// Location: LCCOMB_X37_Y13_N10 +cycloneii_lcell_comb \inst|inst11|auto_generated|aneb_result_wire[0]~5 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~131_combout = (\addr~combout [0] & ((\inst|inst4|inst2|dffs [0]) # ((\addr~combout [1])))) # (!\addr~combout [0] & (((\inst|inst4|inst|dffs [0] & !\addr~combout [1])))) +// \inst|inst11|auto_generated|aneb_result_wire[0]~5_combout = (\inst|inst12|auto_generated|safe_q [2]) # ((\inst|inst12|auto_generated|safe_q [1]) # ((\inst|inst12|auto_generated|safe_q [3]) # (!\inst|inst12|auto_generated|safe_q [4]))) - .dataa(\inst|inst4|inst2|dffs [0]), - .datab(\inst|inst4|inst|dffs [0]), - .datac(\addr~combout [0]), - .datad(\addr~combout [1]), + .dataa(\inst|inst12|auto_generated|safe_q [2]), + .datab(\inst|inst12|auto_generated|safe_q [1]), + .datac(\inst|inst12|auto_generated|safe_q [4]), + .datad(\inst|inst12|auto_generated|safe_q [3]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~131_combout ), + .combout(\inst|inst11|auto_generated|aneb_result_wire[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~131 .lut_mask = 16'hF0AC; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~131 .sum_lutc_input = "datac"; +defparam \inst|inst11|auto_generated|aneb_result_wire[0]~5 .lut_mask = 16'hFFEF; +defparam \inst|inst11|auto_generated|aneb_result_wire[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y15_N22 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~132 ( +// Location: LCCOMB_X30_Y14_N22 +cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|_~0 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~132_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~131_combout & (((\inst|inst4|inst7|dffs [0]) # (!\addr~combout [1])))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~131_combout & (\inst|inst4|inst5|dffs [0] & ((\addr~combout [1])))) +// \inst|inst4|inst115|auto_generated|_~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (\mode~combout [1] $ (\mode~combout [0])))) - .dataa(\inst|inst4|inst5|dffs [0]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~131_combout ), - .datac(\inst|inst4|inst7|dffs [0]), - .datad(\addr~combout [1]), + .dataa(\mode~combout [1]), + .datab(\mode~combout [0]), + .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~132_combout ), + .combout(\inst|inst4|inst115|auto_generated|_~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~132 .lut_mask = 16'hE2CC; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~132 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst115|auto_generated|_~0 .lut_mask = 16'h0006; +defparam \inst|inst4|inst115|auto_generated|_~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y19_N6 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~86 ( +// Location: LCCOMB_X35_Y14_N26 +cycloneii_lcell_comb \inst|inst4|inst4|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~86_combout = (!\addr~combout [3] & ((\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~130_combout ))) # (!\addr~combout [2] & -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~132_combout )))) +// \inst|inst4|inst4|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst2|dffs [4])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst7|dffs [4]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst2|dffs [4])) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~132_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~130_combout ), - .datac(\addr~combout [3]), - .datad(\addr~combout [2]), + .dataa(\inst|inst4|inst2|dffs [4]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst7|dffs [4]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~86_combout ), + .combout(\inst|inst4|inst4|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~86 .lut_mask = 16'h0C0A; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~86 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst4|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hAAE2; +defparam \inst|inst4|inst4|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y18_N0 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~87 ( +// Location: LCCOMB_X35_Y13_N28 +cycloneii_lcell_comb \inst|inst4|inst124|inst49 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~87_combout = (\addr~combout [0] & ((\addr~combout [1] & (\inst|inst4|inst31|dffs [0])) # (!\addr~combout [1] & ((\inst|inst4|inst27|dffs [0]))))) +// \inst|inst4|inst124|inst49~combout = (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [2] & (!\inst|inst4|inst122~0_combout & !\inst|inst1|auto_generated|aneb_result_wire [0])) - .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst31|dffs [0]), - .datac(\inst|inst4|inst27|dffs [0]), - .datad(\addr~combout [1]), + .dataa(vcc), + .datab(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [2]), + .datac(\inst|inst4|inst122~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~87_combout ), + .combout(\inst|inst4|inst124|inst49~combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~87 .lut_mask = 16'h88A0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~87 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst124|inst49 .lut_mask = 16'h000C; +defparam \inst|inst4|inst124|inst49 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y18_N2 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~88 ( +// Location: LCCOMB_X35_Y14_N12 +cycloneii_lcell_comb \inst|inst4|inst3|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~88_combout = (!\addr~combout [0] & ((\addr~combout [1] & (\inst|inst4|inst28|dffs [0])) # (!\addr~combout [1] & ((\inst|inst4|inst25|dffs [0]))))) +// \inst|inst4|inst3|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst|dffs [4])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst5|dffs [4]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst|dffs [4])) - .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst28|dffs [0]), - .datac(\inst|inst4|inst25|dffs [0]), - .datad(\addr~combout [1]), + .dataa(\inst|inst4|inst|dffs [4]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst5|dffs [4]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~88_combout ), + .combout(\inst|inst4|inst3|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~88 .lut_mask = 16'h4450; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~88 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst3|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hAAE2; +defparam \inst|inst4|inst3|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y18_N28 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~89 ( +// Location: LCCOMB_X35_Y13_N16 +cycloneii_lcell_comb \inst|inst4|inst6|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~89_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~88_combout ) # -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~87_combout ))) +// \inst|inst4|inst6|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst5|dffs [4])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst9|dffs [4])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst5|dffs [4]))))) - .dataa(\addr~combout [2]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~88_combout ), - .datac(vcc), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~87_combout ), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst9|dffs [4]), + .datad(\inst|inst4|inst5|dffs [4]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~89_combout ), + .combout(\inst|inst4|inst6|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~89 .lut_mask = 16'hAA88; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~89 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst6|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hFB40; +defparam \inst|inst4|inst6|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y16_N12 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~90 ( +// Location: LCCOMB_X32_Y12_N20 +cycloneii_lcell_comb \inst|inst4|inst30|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~90_combout = (\addr~combout [0] & ((\addr~combout [1] & ((\inst|inst4|inst23|dffs [0]))) # (!\addr~combout [1] & (\inst|inst4|inst19|dffs [0])))) +// \inst|inst4|inst30|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst28|dffs [4]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst33|dffs [4])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst28|dffs [4])))) - .dataa(\inst|inst4|inst19|dffs [0]), - .datab(\inst|inst4|inst23|dffs [0]), - .datac(\addr~combout [0]), - .datad(\addr~combout [1]), + .dataa(\inst|inst4|inst33|dffs [4]), + .datab(\inst|inst4|inst28|dffs [4]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~90_combout ), + .combout(\inst|inst4|inst30|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~90 .lut_mask = 16'hC0A0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~90 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst30|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hCCAC; +defparam \inst|inst4|inst30|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y15_N6 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~91 ( +// Location: LCCOMB_X32_Y12_N22 +cycloneii_lcell_comb \inst|inst4|inst26|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~91_combout = (!\addr~combout [0] & ((\addr~combout [1] & ((\inst|inst4|inst21|dffs [0]))) # (!\addr~combout [1] & (\inst|inst4|inst17|dffs [0])))) +// \inst|inst4|inst26|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst25|dffs [4])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst28|dffs [4]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst25|dffs [4])) - .dataa(\inst|inst4|inst17|dffs [0]), - .datab(\addr~combout [0]), - .datac(\inst|inst4|inst21|dffs [0]), - .datad(\addr~combout [1]), + .dataa(\inst|inst4|inst25|dffs [4]), + .datab(\inst|inst4|inst28|dffs [4]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~91_combout ), + .combout(\inst|inst4|inst26|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~91 .lut_mask = 16'h3022; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~91 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst26|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hAACA; +defparam \inst|inst4|inst26|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y18_N22 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~92 ( +// Location: LCCOMB_X31_Y13_N30 +cycloneii_lcell_comb \inst|inst4|inst29|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~92_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~89_combout ) # ((!\addr~combout [2] & -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~90_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~91_combout )))) +// \inst|inst4|inst29|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst27|dffs [4]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst31|dffs [4])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst27|dffs [4])))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~90_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~89_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~91_combout ), - .datad(\addr~combout [2]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst31|dffs [4]), + .datac(\inst|inst4|inst27|dffs [4]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~92_combout ), + .combout(\inst|inst4|inst29|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~92 .lut_mask = 16'hCCFE; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~92 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst29|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst29|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y19_N16 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~93 ( +// Location: LCCOMB_X32_Y14_N30 +cycloneii_lcell_comb \inst|inst4|inst24|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~93_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~86_combout ) # -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~92_combout & \addr~combout [3])))) +// \inst|inst4|inst24|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst23|dffs [4]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst27|dffs [4])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst23|dffs [4])))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~92_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout ), - .datac(\addr~combout [3]), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~86_combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst27|dffs [4]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst23|dffs [4]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~93_combout ), + .combout(\inst|inst4|inst24|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~93 .lut_mask = 16'hCC80; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~93 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst24|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hFD08; +defparam \inst|inst4|inst24|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y18_N20 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~137 ( +// Location: LCCOMB_X33_Y15_N16 +cycloneii_lcell_comb \inst|inst4|inst124|inst39 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~137_combout = (\addr~combout [1] & (((\addr~combout [0])))) # (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst35|dffs [0]))) # (!\addr~combout [0] & (\inst|inst4|inst33|dffs [0])))) +// \inst|inst4|inst124|inst39~combout = (!\inst|inst4|inst122~0_combout & (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [12] & !\inst|inst1|auto_generated|aneb_result_wire [0])) - .dataa(\addr~combout [1]), - .datab(\inst|inst4|inst33|dffs [0]), - .datac(\addr~combout [0]), - .datad(\inst|inst4|inst35|dffs [0]), + .dataa(\inst|inst4|inst122~0_combout ), + .datab(vcc), + .datac(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [12]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~137_combout ), + .combout(\inst|inst4|inst124|inst39~combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~137 .lut_mask = 16'hF4A4; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~137 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst124|inst39 .lut_mask = 16'h0050; +defparam \inst|inst4|inst124|inst39 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y18_N6 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~138 ( +// Location: LCCOMB_X30_Y14_N26 +cycloneii_lcell_comb \inst|inst4|inst102|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~138_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~137_combout & (\inst|inst4|inst39|dffs [0])) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~137_combout & ((\inst|inst4|inst37|dffs [0]))))) # (!\addr~combout [1] & (((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~137_combout )))) +// \inst|inst4|inst102|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst101|dffs [4] & (((\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout ) # (\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout )) # +// (!\inst|inst4|inst108~0_combout ))) - .dataa(\addr~combout [1]), - .datab(\inst|inst4|inst39|dffs [0]), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~137_combout ), - .datad(\inst|inst4|inst37|dffs [0]), + .dataa(\inst|inst4|inst101|dffs [4]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~138_combout ), + .combout(\inst|inst4|inst102|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~138 .lut_mask = 16'hDAD0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~138 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst102|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hAAA2; +defparam \inst|inst4|inst102|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X36_Y17_N10 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~98 ( +// Location: LCCOMB_X25_Y12_N24 +cycloneii_lcell_comb \inst|inst4|inst40|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~98_combout = (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst51|dffs [0])) # (!\addr~combout [0] & ((\inst|inst4|inst49|dffs [0]))))) +// \inst|inst4|inst40|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst39|dffs [4])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst42|dffs [4])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst39|dffs [4]))))) - .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst51|dffs [0]), - .datac(\inst|inst4|inst49|dffs [0]), - .datad(\addr~combout [1]), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst42|dffs [4]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst39|dffs [4]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~98_combout ), + .combout(\inst|inst4|inst40|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~98 .lut_mask = 16'h00D8; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~98 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst40|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hEF40; +defparam \inst|inst4|inst40|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y16_N14 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~139 ( +// Location: LCCOMB_X31_Y13_N16 +cycloneii_lcell_comb \inst|inst4|inst32|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~139_combout = (\addr~combout [1] & (\addr~combout [0])) # (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst75|dffs [0])) # (!\addr~combout [0] & ((\inst|inst4|inst73|dffs [0]))))) +// \inst|inst4|inst32|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst31|dffs [4])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst35|dffs [4]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst31|dffs [4])) - .dataa(\addr~combout [1]), - .datab(\addr~combout [0]), - .datac(\inst|inst4|inst75|dffs [0]), - .datad(\inst|inst4|inst73|dffs [0]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst31|dffs [4]), + .datac(\inst|inst4|inst35|dffs [4]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~139_combout ), + .combout(\inst|inst4|inst32|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~139 .lut_mask = 16'hD9C8; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~139 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst32|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst32|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y16_N16 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~140 ( +// Location: LCCOMB_X22_Y15_N4 +cycloneii_lcell_comb \inst|inst4|inst56|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~140_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~139_combout & (((\inst|inst4|inst79|dffs [0]) # (!\addr~combout [1])))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~139_combout & (\inst|inst4|inst77|dffs [0] & (\addr~combout [1]))) +// \inst|inst4|inst56|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst54|dffs [4]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst59|dffs [4])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst54|dffs [4])))) - .dataa(\inst|inst4|inst77|dffs [0]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~139_combout ), - .datac(\addr~combout [1]), - .datad(\inst|inst4|inst79|dffs [0]), + .dataa(\inst|inst4|inst59|dffs [4]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst54|dffs [4]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~140_combout ), + .combout(\inst|inst4|inst56|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~140 .lut_mask = 16'hEC2C; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~140 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst56|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hF0B8; +defparam \inst|inst4|inst56|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y16_N12 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~141 ( +// Location: LCCOMB_X22_Y15_N22 +cycloneii_lcell_comb \inst|inst4|inst50|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~141_combout = (\addr~combout [5] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~140_combout & (!\addr~combout [3] & \addr~combout [2]))) +// \inst|inst4|inst50|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst49|dffs [4])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst53|dffs [4]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst49|dffs [4])) - .dataa(\addr~combout [5]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~140_combout ), - .datac(\addr~combout [3]), - .datad(\addr~combout [2]), + .dataa(\inst|inst4|inst49|dffs [4]), + .datab(\inst|inst4|inst53|dffs [4]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~141_combout ), + .combout(\inst|inst4|inst50|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~141 .lut_mask = 16'h0800; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~141 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst50|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hAACA; +defparam \inst|inst4|inst50|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y17_N12 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~145 ( +// Location: LCCOMB_X22_Y15_N24 +cycloneii_lcell_comb \inst|inst4|inst55|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~145_combout = (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst91|dffs [0]))) # (!\addr~combout [0] & (\inst|inst4|inst89|dffs [0])))) +// \inst|inst4|inst55|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst53|dffs [4]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst57|dffs [4])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst53|dffs [4])))) - .dataa(\inst|inst4|inst89|dffs [0]), - .datab(\addr~combout [0]), - .datac(\inst|inst4|inst91|dffs [0]), - .datad(\addr~combout [1]), + .dataa(\inst|inst4|inst57|dffs [4]), + .datab(\inst|inst4|inst53|dffs [4]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~145_combout ), + .combout(\inst|inst4|inst55|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~145 .lut_mask = 16'h00E2; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~145 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst55|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hCCAC; +defparam \inst|inst4|inst55|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y18_N8 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~146 ( +// Location: LCCOMB_X22_Y15_N18 +cycloneii_lcell_comb \inst|inst4|inst52|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~146_combout = (\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst95|dffs [0])) # (!\addr~combout [0] & ((\inst|inst4|inst93|dffs [0]))))) +// \inst|inst4|inst52|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst51|dffs [4]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst54|dffs [4])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst51|dffs [4])))) - .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst95|dffs [0]), - .datac(\inst|inst4|inst93|dffs [0]), - .datad(\addr~combout [1]), + .dataa(\inst|inst4|inst54|dffs [4]), + .datab(\inst|inst4|inst51|dffs [4]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~146_combout ), + .combout(\inst|inst4|inst52|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~146 .lut_mask = 16'hD800; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~146 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst52|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hCCAC; +defparam \inst|inst4|inst52|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y18_N10 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~147 ( +// Location: LCCOMB_X26_Y10_N14 +cycloneii_lcell_comb \inst|inst4|inst76|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~147_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~146_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~145_combout ))) +// \inst|inst4|inst76|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst75|dffs [4]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst79|dffs [4])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst75|dffs [4])))) - .dataa(vcc), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~146_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~145_combout ), - .datad(\addr~combout [2]), + .dataa(\inst|inst4|inst79|dffs [4]), + .datab(\inst|inst4|inst75|dffs [4]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~147_combout ), + .combout(\inst|inst4|inst76|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~147 .lut_mask = 16'hFC00; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~147 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst76|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hCCAC; +defparam \inst|inst4|inst76|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y20_N20 -cycloneii_lcell_comb \inst|inst5|inst5|inst2|inst ( +// Location: LCCOMB_X26_Y10_N8 +cycloneii_lcell_comb \inst|inst4|inst74|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst5|inst5|inst2|inst~combout = \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout $ (((\inst|inst5|inst|inst5|inst2~2_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ))) # (!\inst|inst5|inst|inst5|inst2~2_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ))))) +// \inst|inst4|inst74|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst73|dffs [4])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst77|dffs [4])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst73|dffs [4]))))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout ), - .datab(\inst|inst5|inst|inst5|inst2~2_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst77|dffs [4]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst73|dffs [4]), .cin(gnd), - .combout(\inst|inst5|inst5|inst2|inst~combout ), + .combout(\inst|inst4|inst74|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst5|inst5|inst2|inst .lut_mask = 16'h5966; -defparam \inst|inst5|inst5|inst2|inst .sum_lutc_input = "datac"; +defparam \inst|inst4|inst74|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hEF40; +defparam \inst|inst4|inst74|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y20_N18 -cycloneii_lcell_comb \inst|inst5|inst5|inst3|inst3~1 ( +// Location: LCCOMB_X24_Y10_N16 +cycloneii_lcell_comb \inst|inst4|inst72|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst5|inst5|inst3|inst3~1_combout = (\inst|inst5|inst|inst5|inst2~2_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout -// ))) # (!\inst|inst5|inst|inst5|inst2~2_combout & (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout )) +// \inst|inst4|inst72|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst71|dffs [4]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst75|dffs [4])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst71|dffs [4])))) - .dataa(vcc), - .datab(\inst|inst5|inst|inst5|inst2~2_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .dataa(\inst|inst4|inst75|dffs [4]), + .datab(\inst|inst4|inst71|dffs [4]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst5|inst5|inst3|inst3~1_combout ), + .combout(\inst|inst4|inst72|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst5|inst5|inst3|inst3~1 .lut_mask = 16'hCF0C; -defparam \inst|inst5|inst5|inst3|inst3~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst72|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hCCAC; +defparam \inst|inst4|inst72|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y20_N12 -cycloneii_lcell_comb \inst|inst5|inst5|inst3|inst3~2 ( +// Location: LCCOMB_X26_Y10_N18 +cycloneii_lcell_comb \inst|inst4|inst78|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst5|inst5|inst3|inst3~2_combout = (\inst|inst5|inst5|inst3|inst3~1_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ))) -// # (!\inst|inst5|inst5|inst3|inst3~1_combout & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout )) +// \inst|inst4|inst78|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst77|dffs [4])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst81|dffs [4]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst77|dffs [4])) - .dataa(vcc), - .datab(\inst|inst5|inst5|inst3|inst3~1_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst77|dffs [4]), + .datac(\inst|inst4|inst81|dffs [4]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst5|inst5|inst3|inst3~2_combout ), + .combout(\inst|inst4|inst78|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst5|inst5|inst3|inst3~2 .lut_mask = 16'hFCC0; -defparam \inst|inst5|inst5|inst3|inst3~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst78|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst78|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X37_Y15_N24 -cycloneii_lcell_comb \inst|inst1|auto_generated|aneb_result_wire[0]~1 ( +// Location: LCCOMB_X22_Y12_N26 +cycloneii_lcell_comb \inst|inst4|inst69|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst1|auto_generated|aneb_result_wire[0]~1_combout = (\inst|inst|auto_generated|safe_q [15]) # (((\inst|inst|auto_generated|safe_q [14]) # (!\inst|inst|auto_generated|safe_q [13])) # (!\inst|inst|auto_generated|safe_q [16])) +// \inst|inst4|inst69|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst67|dffs [4])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst71|dffs [4]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst67|dffs [4])) - .dataa(\inst|inst|auto_generated|safe_q [15]), - .datab(\inst|inst|auto_generated|safe_q [16]), - .datac(\inst|inst|auto_generated|safe_q [14]), - .datad(\inst|inst|auto_generated|safe_q [13]), + .dataa(\inst|inst4|inst67|dffs [4]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst71|dffs [4]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst1|auto_generated|aneb_result_wire[0]~1_combout ), + .combout(\inst|inst4|inst69|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst1|auto_generated|aneb_result_wire[0]~1 .lut_mask = 16'hFBFF; -defparam \inst|inst1|auto_generated|aneb_result_wire[0]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst69|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hAAE2; +defparam \inst|inst4|inst69|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X23_Y17_N22 -cycloneii_lcell_comb \inst|inst4|inst107 ( +// Location: LCCOMB_X22_Y12_N28 +cycloneii_lcell_comb \inst|inst4|inst66|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst4|inst107~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (\mode~combout [0] & (!\mode~combout [1] & !\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ))) +// \inst|inst4|inst66|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst65|dffs [4])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst68|dffs [4]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst65|dffs [4])) - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datab(\mode~combout [0]), - .datac(\mode~combout [1]), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .dataa(\inst|inst4|inst65|dffs [4]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst68|dffs [4]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst107~combout ), + .combout(\inst|inst4|inst66|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst107 .lut_mask = 16'h0004; -defparam \inst|inst4|inst107 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst66|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hAAE2; +defparam \inst|inst4|inst66|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y15_N24 -cycloneii_lcell_comb \inst|inst11|auto_generated|aneb_result_wire[0]~1 ( +// Location: LCCOMB_X22_Y12_N22 +cycloneii_lcell_comb \inst|inst4|inst64|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst11|auto_generated|aneb_result_wire[0]~1_combout = (\inst|inst12|auto_generated|safe_q [15]) # (((\inst|inst12|auto_generated|safe_q [14]) # (!\inst|inst12|auto_generated|safe_q [13])) # (!\inst|inst12|auto_generated|safe_q [16])) +// \inst|inst4|inst64|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst63|dffs [4]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst67|dffs [4])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst63|dffs [4])))) - .dataa(\inst|inst12|auto_generated|safe_q [15]), - .datab(\inst|inst12|auto_generated|safe_q [16]), - .datac(\inst|inst12|auto_generated|safe_q [14]), - .datad(\inst|inst12|auto_generated|safe_q [13]), + .dataa(\inst|inst4|inst67|dffs [4]), + .datab(\inst|inst4|inst63|dffs [4]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst11|auto_generated|aneb_result_wire[0]~1_combout ), + .combout(\inst|inst4|inst64|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst11|auto_generated|aneb_result_wire[0]~1 .lut_mask = 16'hFBFF; -defparam \inst|inst11|auto_generated|aneb_result_wire[0]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst64|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hCCAC; +defparam \inst|inst4|inst64|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y18_N24 -cycloneii_lcell_comb \inst|inst4|inst100|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X22_Y10_N10 +cycloneii_lcell_comb \inst|inst4|inst70|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst4|inst100|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst103|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst99|dffs [4]))) +// \inst|inst4|inst70|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst68|dffs [4]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst73|dffs [4])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst68|dffs [4])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst103|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst99|dffs [4]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst73|dffs [4]), + .datac(\inst|inst4|inst68|dffs [4]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst100|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst70|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst100|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst100|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst70|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst70|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y18_N18 -cycloneii_lcell_comb \inst|inst4|inst98|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X26_Y10_N20 +cycloneii_lcell_comb \inst|inst4|inst83|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst4|inst98|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst101|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst96|dffs [4]))) +// \inst|inst4|inst83|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst81|dffs [4]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst85|dffs [4])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst81|dffs [4])))) - .dataa(\inst|inst4|inst101|dffs [4]), - .datab(\inst|inst4|inst96|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst85|dffs [4]), + .datab(\inst|inst4|inst81|dffs [4]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst98|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst83|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst98|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst98|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst83|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hCCAC; +defparam \inst|inst4|inst83|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y18_N8 -cycloneii_lcell_comb \inst|inst4|inst97|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X26_Y10_N30 +cycloneii_lcell_comb \inst|inst4|inst80|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst4|inst97|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst99|dffs [4]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst95|dffs [4])) +// \inst|inst4|inst80|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst79|dffs [4])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst82|dffs [4]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst79|dffs [4])) - .dataa(\inst|inst4|inst95|dffs [4]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst99|dffs [4]), + .dataa(\inst|inst4|inst79|dffs [4]), + .datab(\inst|inst4|inst82|dffs [4]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst97|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst80|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst97|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst97|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst80|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hAACA; +defparam \inst|inst4|inst80|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y18_N24 -cycloneii_lcell_comb \inst|inst4|inst102|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X26_Y10_N24 +cycloneii_lcell_comb \inst|inst4|inst86|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst4|inst102|$00000|auto_generated|result_node[4]~0_combout = (!\inst|inst4|inst108~combout & \inst|inst4|inst101|dffs [4]) +// \inst|inst4|inst86|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst85|dffs [4])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst89|dffs [4]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst85|dffs [4])) - .dataa(vcc), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst101|dffs [4]), + .dataa(\inst|inst4|inst85|dffs [4]), + .datab(\inst|inst4|inst89|dffs [4]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst102|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst86|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst102|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'h3300; -defparam \inst|inst4|inst102|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst86|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hAACA; +defparam \inst|inst4|inst86|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y17_N8 -cycloneii_lcell_comb \inst|inst4|inst44|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X26_Y10_N2 +cycloneii_lcell_comb \inst|inst4|inst84|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst4|inst44|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst47|dffs [4]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst42|dffs [4])) +// \inst|inst4|inst84|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst82|dffs [4]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst87|dffs [4])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst82|dffs [4])))) - .dataa(\inst|inst4|inst42|dffs [4]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst47|dffs [4]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst87|dffs [4]), + .datac(\inst|inst4|inst82|dffs [4]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst44|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst84|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst44|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst44|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst84|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst84|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y17_N24 -cycloneii_lcell_comb \inst|inst4|inst43|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X34_Y13_N4 +cycloneii_lcell_comb \inst|inst4|inst12|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst43|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst45|dffs [4]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst41|dffs [4])) +// \inst|inst4|inst12|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst11|dffs [3]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst14|dffs [3])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst11|dffs [3])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst41|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst45|dffs [4]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst14|dffs [3]), + .datac(\inst|inst4|inst11|dffs [3]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst43|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst12|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst43|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst43|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst12|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst12|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y17_N0 -cycloneii_lcell_comb \inst|inst4|inst40|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X34_Y13_N22 +cycloneii_lcell_comb \inst|inst4|inst10|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst40|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst42|dffs [4]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst39|dffs [4])) +// \inst|inst4|inst10|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst9|dffs [3])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst13|dffs [3]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst9|dffs [3])) - .dataa(\inst|inst4|inst39|dffs [4]), - .datab(\inst|inst4|inst42|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst9|dffs [3]), + .datac(\inst|inst4|inst13|dffs [3]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst40|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst10|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst40|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst40|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst10|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst10|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y17_N16 -cycloneii_lcell_comb \inst|inst4|inst46|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X35_Y13_N4 +cycloneii_lcell_comb \inst|inst4|inst8|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst46|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst49|dffs [4]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst45|dffs [4])) +// \inst|inst4|inst8|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst7|dffs [3])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst11|dffs [3])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst7|dffs [3]))))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst45|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst49|dffs [4]), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst11|dffs [3]), + .datad(\inst|inst4|inst7|dffs [3]), .cin(gnd), - .combout(\inst|inst4|inst46|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst8|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst46|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst46|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst8|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hFB40; +defparam \inst|inst4|inst8|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y18_N16 -cycloneii_lcell_comb \inst|inst4|inst36|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X34_Y13_N24 +cycloneii_lcell_comb \inst|inst4|inst15|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst36|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst39|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst35|dffs [4]))) +// \inst|inst4|inst15|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst13|dffs [3]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst17|dffs [3])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst13|dffs [3])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst39|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst35|dffs [4]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst17|dffs [3]), + .datac(\inst|inst4|inst13|dffs [3]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst36|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst15|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst36|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst36|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst15|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst15|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y17_N2 -cycloneii_lcell_comb \inst|inst4|inst38|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X35_Y13_N22 +cycloneii_lcell_comb \inst|inst4|inst4|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst38|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst41|dffs [4]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst37|dffs [4])) +// \inst|inst4|inst4|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst2|dffs [3])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst7|dffs [3]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst2|dffs [3])))) - .dataa(\inst|inst4|inst37|dffs [4]), - .datab(\inst|inst4|inst41|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst2|dffs [3]), + .datad(\inst|inst4|inst7|dffs [3]), .cin(gnd), - .combout(\inst|inst4|inst38|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst4|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst38|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst38|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst4|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hF4B0; +defparam \inst|inst4|inst4|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X36_Y18_N8 -cycloneii_lcell_comb \inst|inst4|inst58|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X36_Y13_N20 +cycloneii_lcell_comb \inst|inst4|inst3|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst58|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst61|dffs [4]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst57|dffs [4])) +// \inst|inst4|inst3|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst|dffs [3])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst5|dffs [3])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst|dffs [3]))))) - .dataa(\inst|inst4|inst57|dffs [4]), - .datab(\inst|inst4|inst61|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst5|dffs [3]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst|dffs [3]), .cin(gnd), - .combout(\inst|inst4|inst58|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst3|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst58|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst58|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst3|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hEF40; +defparam \inst|inst4|inst3|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X37_Y18_N16 -cycloneii_lcell_comb \inst|inst4|inst56|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X36_Y14_N26 +cycloneii_lcell_comb \inst|inst4|inst1|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst56|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst59|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst54|dffs [4]))) +// \inst|inst4|inst1|$00000|auto_generated|result_node[3]~1_combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout & (\inst|inst4|inst108~0_combout & \inst|inst4|inst2|dffs [3]))) - .dataa(\inst|inst4|inst59|dffs [4]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst54|dffs [4]), + .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout ), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst2|dffs [3]), .cin(gnd), - .combout(\inst|inst4|inst56|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst1|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst56|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst56|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst1|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'h1000; +defparam \inst|inst4|inst1|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y18_N16 -cycloneii_lcell_comb \inst|inst4|inst62|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X34_Y13_N26 +cycloneii_lcell_comb \inst|inst4|inst6|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst62|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst65|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst61|dffs [4]))) +// \inst|inst4|inst6|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst5|dffs [3])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst9|dffs [3])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst5|dffs [3]))))) - .dataa(\inst|inst4|inst65|dffs [4]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst61|dffs [4]), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst9|dffs [3]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst5|dffs [3]), .cin(gnd), - .combout(\inst|inst4|inst62|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst6|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst62|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst62|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst6|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hEF40; +defparam \inst|inst4|inst6|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y18_N2 -cycloneii_lcell_comb \inst|inst4|inst60|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X33_Y15_N4 +cycloneii_lcell_comb \inst|inst4|inst24|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst60|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst63|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst59|dffs [4]))) +// \inst|inst4|inst24|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst23|dffs [3]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst27|dffs [3])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst23|dffs [3])))) - .dataa(\inst|inst4|inst63|dffs [4]), - .datab(\inst|inst4|inst59|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst27|dffs [3]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst23|dffs [3]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst60|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst24|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst60|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst60|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst24|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hF0B8; +defparam \inst|inst4|inst24|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y18_N16 -cycloneii_lcell_comb \inst|inst4|inst50|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X33_Y15_N22 +cycloneii_lcell_comb \inst|inst4|inst18|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst50|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst53|dffs [4]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst49|dffs [4])) +// \inst|inst4|inst18|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst17|dffs [3]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst21|dffs [3])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst17|dffs [3])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst49|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst53|dffs [4]), + .dataa(\inst|inst4|inst21|dffs [3]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst17|dffs [3]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst50|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst18|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst50|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst50|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst18|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hF0B8; +defparam \inst|inst4|inst18|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y17_N2 -cycloneii_lcell_comb \inst|inst4|inst48|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X33_Y15_N2 +cycloneii_lcell_comb \inst|inst4|inst22|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst48|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst51|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst47|dffs [4]))) +// \inst|inst4|inst22|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst21|dffs [3])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst25|dffs [3]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst21|dffs [3])) - .dataa(\inst|inst4|inst51|dffs [4]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst47|dffs [4]), + .dataa(\inst|inst4|inst21|dffs [3]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst25|dffs [3]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst48|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst22|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst48|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst48|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst22|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hAAE2; +defparam \inst|inst4|inst22|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X36_Y18_N18 -cycloneii_lcell_comb \inst|inst4|inst55|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X33_Y15_N20 +cycloneii_lcell_comb \inst|inst4|inst20|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst55|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst57|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst53|dffs [4]))) +// \inst|inst4|inst20|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst19|dffs [3])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst23|dffs [3]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst19|dffs [3])) - .dataa(\inst|inst4|inst57|dffs [4]), - .datab(\inst|inst4|inst53|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst19|dffs [3]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst23|dffs [3]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst55|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst20|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst55|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst55|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst20|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hAAE2; +defparam \inst|inst4|inst20|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y18_N18 -cycloneii_lcell_comb \inst|inst4|inst52|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X29_Y14_N20 +cycloneii_lcell_comb \inst|inst4|inst100|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst52|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst54|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst51|dffs [4]))) +// \inst|inst4|inst100|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst99|dffs [3])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst103|dffs [3]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst99|dffs [3])) - .dataa(\inst|inst4|inst54|dffs [4]), - .datab(\inst|inst4|inst51|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst99|dffs [3]), + .datab(\inst|inst4|inst103|dffs [3]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst52|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst100|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst52|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst52|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst100|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hAACA; +defparam \inst|inst4|inst100|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y16_N24 -cycloneii_lcell_comb \inst|inst4|inst64|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X29_Y13_N20 +cycloneii_lcell_comb \inst|inst4|inst98|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst64|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst67|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst63|dffs [4]))) +// \inst|inst4|inst98|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst96|dffs [3])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst101|dffs [3]))))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst96|dffs [3])))) - .dataa(\inst|inst4|inst67|dffs [4]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst63|dffs [4]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst96|dffs [3]), + .datad(\inst|inst4|inst101|dffs [3]), .cin(gnd), - .combout(\inst|inst4|inst64|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst98|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst64|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst64|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst98|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hF2D0; +defparam \inst|inst4|inst98|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y18_N24 -cycloneii_lcell_comb \inst|inst4|inst94|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X30_Y14_N4 +cycloneii_lcell_comb \inst|inst4|inst102|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst94|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst96|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst93|dffs [4]))) +// \inst|inst4|inst102|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst101|dffs [3] & (((\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout ) # (\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout )) # +// (!\inst|inst4|inst108~0_combout ))) - .dataa(\inst|inst4|inst96|dffs [4]), - .datab(\inst|inst4|inst93|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst101|dffs [3]), + .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), .cin(gnd), - .combout(\inst|inst4|inst94|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst102|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst94|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst94|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst102|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hCCC4; +defparam \inst|inst4|inst102|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X36_Y15_N28 -cycloneii_lcell_comb \inst|inst4|inst12|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X24_Y11_N8 +cycloneii_lcell_comb \inst|inst4|inst44|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst12|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst14|dffs [3])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst11|dffs [3]))) +// \inst|inst4|inst44|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst42|dffs [3])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst47|dffs [3])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst42|dffs [3]))))) - .dataa(\inst|inst4|inst14|dffs [3]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst11|dffs [3]), + .dataa(\inst|inst4|inst47|dffs [3]), + .datab(\inst|inst4|inst42|dffs [3]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst12|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst44|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst12|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst12|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst44|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hCACC; +defparam \inst|inst4|inst44|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X36_Y15_N6 -cycloneii_lcell_comb \inst|inst4|inst10|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X24_Y11_N26 +cycloneii_lcell_comb \inst|inst4|inst43|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst10|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst13|dffs [3]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst9|dffs [3])) +// \inst|inst4|inst43|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst41|dffs [3])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst45|dffs [3])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst41|dffs [3]))))) - .dataa(\inst|inst4|inst9|dffs [3]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst13|dffs [3]), + .dataa(\inst|inst4|inst45|dffs [3]), + .datab(\inst|inst4|inst41|dffs [3]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst10|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst43|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst10|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst10|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst43|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hCACC; +defparam \inst|inst4|inst43|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y15_N20 -cycloneii_lcell_comb \inst|inst4|inst15|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X24_Y11_N12 +cycloneii_lcell_comb \inst|inst4|inst40|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst15|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst17|dffs [3])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst13|dffs [3]))) +// \inst|inst4|inst40|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst39|dffs [3])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst42|dffs [3]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst39|dffs [3])) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst17|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst13|dffs [3]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst39|dffs [3]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst42|dffs [3]), .cin(gnd), - .combout(\inst|inst4|inst15|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst40|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst15|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst15|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst40|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hCEC4; +defparam \inst|inst4|inst40|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y14_N26 -cycloneii_lcell_comb \inst|inst4|inst1|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X24_Y11_N22 +cycloneii_lcell_comb \inst|inst4|inst46|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst1|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst2|dffs [3] & \inst|inst4|inst108~combout ) +// \inst|inst4|inst46|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst45|dffs [3])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst49|dffs [3])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst45|dffs [3]))))) - .dataa(\inst|inst4|inst2|dffs [3]), - .datab(vcc), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst49|dffs [3]), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst45|dffs [3]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst1|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst46|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst1|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hAA00; -defparam \inst|inst4|inst1|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst46|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hE2F0; +defparam \inst|inst4|inst46|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y16_N4 -cycloneii_lcell_comb \inst|inst4|inst26|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X24_Y11_N24 +cycloneii_lcell_comb \inst|inst4|inst36|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst26|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst28|dffs [3])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst25|dffs [3]))) +// \inst|inst4|inst36|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst35|dffs [3])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst39|dffs [3]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst35|dffs [3])))) - .dataa(\inst|inst4|inst28|dffs [3]), - .datab(\inst|inst4|inst25|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst35|dffs [3]), + .datab(\inst|inst4|inst39|dffs [3]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst26|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst36|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst26|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst26|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst36|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hACAA; +defparam \inst|inst4|inst36|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y16_N0 -cycloneii_lcell_comb \inst|inst4|inst24|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X25_Y13_N12 +cycloneii_lcell_comb \inst|inst4|inst34|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst24|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst27|dffs [3])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst23|dffs [3]))) +// \inst|inst4|inst34|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst33|dffs [3])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst37|dffs [3]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst33|dffs [3])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst27|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst23|dffs [3]), + .dataa(\inst|inst4|inst33|dffs [3]), + .datab(\inst|inst4|inst37|dffs [3]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst24|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst34|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst24|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst24|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst34|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hACAA; +defparam \inst|inst4|inst34|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y15_N22 -cycloneii_lcell_comb \inst|inst4|inst18|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X25_Y10_N22 +cycloneii_lcell_comb \inst|inst4|inst32|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst18|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst21|dffs [3]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst17|dffs [3])) +// \inst|inst4|inst32|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst31|dffs [3])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst35|dffs [3]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst31|dffs [3])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst17|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst21|dffs [3]), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst31|dffs [3]), + .datad(\inst|inst4|inst35|dffs [3]), .cin(gnd), - .combout(\inst|inst4|inst18|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst32|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst18|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst18|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst32|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hF4B0; +defparam \inst|inst4|inst32|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y18_N0 -cycloneii_lcell_comb \inst|inst4|inst16|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X24_Y11_N2 +cycloneii_lcell_comb \inst|inst4|inst38|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst16|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst19|dffs [3]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst14|dffs [3])) +// \inst|inst4|inst38|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst37|dffs [3]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst41|dffs [3])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst37|dffs [3])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst14|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst19|dffs [3]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst41|dffs [3]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst37|dffs [3]), .cin(gnd), - .combout(\inst|inst4|inst16|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst38|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst16|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst16|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst38|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hFD08; +defparam \inst|inst4|inst38|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y18_N26 -cycloneii_lcell_comb \inst|inst4|inst30|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X23_Y12_N10 +cycloneii_lcell_comb \inst|inst4|inst58|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst30|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst33|dffs [3]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst28|dffs [3])) +// \inst|inst4|inst58|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst57|dffs [3])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst61|dffs [3]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst57|dffs [3])) - .dataa(\inst|inst4|inst28|dffs [3]), - .datab(\inst|inst4|inst33|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst57|dffs [3]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst61|dffs [3]), .cin(gnd), - .combout(\inst|inst4|inst30|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst58|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst30|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst30|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst58|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hAEA2; +defparam \inst|inst4|inst58|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y16_N10 -cycloneii_lcell_comb \inst|inst4|inst29|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X24_Y11_N6 +cycloneii_lcell_comb \inst|inst4|inst48|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst29|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst31|dffs [3]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst27|dffs [3])) +// \inst|inst4|inst48|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst47|dffs [3])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst51|dffs [3]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst47|dffs [3])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst27|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst31|dffs [3]), + .dataa(\inst|inst4|inst47|dffs [3]), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst51|dffs [3]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst29|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst48|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst29|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst29|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst48|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hB8AA; +defparam \inst|inst4|inst48|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y16_N4 -cycloneii_lcell_comb \inst|inst4|inst22|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X27_Y11_N16 +cycloneii_lcell_comb \inst|inst4|inst76|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst22|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst25|dffs [3])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst21|dffs [3]))) +// \inst|inst4|inst76|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst75|dffs [3]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst79|dffs [3])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst75|dffs [3])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst25|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst21|dffs [3]), + .dataa(\inst|inst4|inst79|dffs [3]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst75|dffs [3]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst22|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst76|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst22|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst22|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst76|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hF0B8; +defparam \inst|inst4|inst76|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y16_N6 -cycloneii_lcell_comb \inst|inst4|inst20|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X27_Y11_N20 +cycloneii_lcell_comb \inst|inst4|inst78|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst20|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst23|dffs [3])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst19|dffs [3]))) +// \inst|inst4|inst78|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst77|dffs [3])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst81|dffs [3]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst77|dffs [3])) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst23|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst19|dffs [3]), + .dataa(\inst|inst4|inst77|dffs [3]), + .datab(\inst|inst4|inst81|dffs [3]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst20|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst78|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst20|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst20|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst78|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hAACA; +defparam \inst|inst4|inst78|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y18_N26 -cycloneii_lcell_comb \inst|inst4|inst97|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X27_Y11_N28 +cycloneii_lcell_comb \inst|inst4|inst80|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst97|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst99|dffs [3]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst95|dffs [3])) +// \inst|inst4|inst80|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst79|dffs [3])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst82|dffs [3]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst79|dffs [3])) - .dataa(\inst|inst4|inst95|dffs [3]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst99|dffs [3]), + .dataa(\inst|inst4|inst79|dffs [3]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst82|dffs [3]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst97|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst80|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst97|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst97|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst80|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hAAE2; +defparam \inst|inst4|inst80|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y17_N4 -cycloneii_lcell_comb \inst|inst4|inst43|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X34_Y13_N20 +cycloneii_lcell_comb \inst|inst4|inst12|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst43|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst45|dffs [3]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst41|dffs [3])) +// \inst|inst4|inst12|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst11|dffs [2])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst14|dffs [2]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst11|dffs [2])) - .dataa(\inst|inst4|inst41|dffs [3]), - .datab(\inst|inst4|inst45|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst11|dffs [2]), + .datac(\inst|inst4|inst14|dffs [2]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst43|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst12|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst43|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst43|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst12|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst12|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y17_N10 -cycloneii_lcell_comb \inst|inst4|inst40|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X33_Y13_N24 +cycloneii_lcell_comb \inst|inst4|inst10|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst40|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst42|dffs [3])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst39|dffs [3]))) +// \inst|inst4|inst10|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst9|dffs [2])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst13|dffs [2]))))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst9|dffs [2])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst42|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst39|dffs [3]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst9|dffs [2]), + .datad(\inst|inst4|inst13|dffs [2]), .cin(gnd), - .combout(\inst|inst4|inst40|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst10|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst40|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst40|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst10|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hF2D0; +defparam \inst|inst4|inst10|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y18_N12 -cycloneii_lcell_comb \inst|inst4|inst36|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X36_Y13_N30 +cycloneii_lcell_comb \inst|inst4|inst8|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst36|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst39|dffs [3]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst35|dffs [3])) +// \inst|inst4|inst8|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst7|dffs [2])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst11|dffs [2])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst7|dffs [2]))))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst35|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst39|dffs [3]), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst11|dffs [2]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst7|dffs [2]), .cin(gnd), - .combout(\inst|inst4|inst36|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst8|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst36|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst36|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst8|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hEF40; +defparam \inst|inst4|inst8|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y18_N14 -cycloneii_lcell_comb \inst|inst4|inst34|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X34_Y13_N6 +cycloneii_lcell_comb \inst|inst4|inst15|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst34|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst37|dffs [3]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst33|dffs [3])) +// \inst|inst4|inst15|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst13|dffs [2]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst17|dffs [2])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst13|dffs [2])))) - .dataa(\inst|inst4|inst33|dffs [3]), - .datab(\inst|inst4|inst37|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst17|dffs [2]), + .datab(\inst|inst4|inst13|dffs [2]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst34|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst15|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst34|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst34|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst15|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hCCAC; +defparam \inst|inst4|inst15|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y18_N26 -cycloneii_lcell_comb \inst|inst4|inst32|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X36_Y13_N24 +cycloneii_lcell_comb \inst|inst4|inst4|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst32|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst35|dffs [3]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst31|dffs [3])) +// \inst|inst4|inst4|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst2|dffs [2])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst7|dffs [2]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst2|dffs [2])))) - .dataa(\inst|inst4|inst31|dffs [3]), - .datab(\inst|inst4|inst35|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst2|dffs [2]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst7|dffs [2]), .cin(gnd), - .combout(\inst|inst4|inst32|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst4|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst32|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst32|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst4|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hDC8C; +defparam \inst|inst4|inst4|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y17_N6 -cycloneii_lcell_comb \inst|inst4|inst38|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X36_Y13_N18 +cycloneii_lcell_comb \inst|inst4|inst3|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst38|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst41|dffs [3])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst37|dffs [3]))) +// \inst|inst4|inst3|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst|dffs [2])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst5|dffs [2])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst|dffs [2]))))) - .dataa(\inst|inst4|inst41|dffs [3]), - .datab(\inst|inst4|inst37|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst5|dffs [2]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst|dffs [2]), .cin(gnd), - .combout(\inst|inst4|inst38|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst3|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst38|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst38|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst3|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hEF40; +defparam \inst|inst4|inst3|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y18_N6 -cycloneii_lcell_comb \inst|inst4|inst76|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X36_Y14_N4 +cycloneii_lcell_comb \inst|inst4|inst1|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst76|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst79|dffs [3])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst75|dffs [3]))) +// \inst|inst4|inst1|$00000|auto_generated|result_node[2]~2_combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout & (\inst|inst4|inst108~0_combout & \inst|inst4|inst2|dffs [2]))) - .dataa(\inst|inst4|inst79|dffs [3]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst75|dffs [3]), + .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout ), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst2|dffs [2]), .cin(gnd), - .combout(\inst|inst4|inst76|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst1|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst76|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst76|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst1|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'h1000; +defparam \inst|inst4|inst1|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y16_N12 -cycloneii_lcell_comb \inst|inst4|inst74|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X36_Y13_N4 +cycloneii_lcell_comb \inst|inst4|inst6|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst74|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst77|dffs [3])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst73|dffs [3]))) +// \inst|inst4|inst6|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst5|dffs [2])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst9|dffs [2]))))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst5|dffs [2])))) - .dataa(\inst|inst4|inst77|dffs [3]), - .datab(\inst|inst4|inst73|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst5|dffs [2]), + .datad(\inst|inst4|inst9|dffs [2]), .cin(gnd), - .combout(\inst|inst4|inst74|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst6|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst74|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst74|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst6|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hF2D0; +defparam \inst|inst4|inst6|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y16_N30 -cycloneii_lcell_comb \inst|inst4|inst72|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X32_Y13_N4 +cycloneii_lcell_comb \inst|inst4|inst30|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst72|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst75|dffs [3]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst71|dffs [3])) +// \inst|inst4|inst30|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst28|dffs [2])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst33|dffs [2]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst28|dffs [2])) - .dataa(\inst|inst4|inst71|dffs [3]), - .datab(\inst|inst4|inst75|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst28|dffs [2]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst33|dffs [2]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst72|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst30|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst72|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst72|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst30|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hAAE2; +defparam \inst|inst4|inst30|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y17_N12 -cycloneii_lcell_comb \inst|inst4|inst78|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X32_Y13_N14 +cycloneii_lcell_comb \inst|inst4|inst26|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst78|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst81|dffs [3])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst77|dffs [3]))) +// \inst|inst4|inst26|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst25|dffs [2]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst28|dffs [2])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst25|dffs [2])))) - .dataa(\inst|inst4|inst81|dffs [3]), - .datab(\inst|inst4|inst77|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst28|dffs [2]), + .datab(\inst|inst4|inst25|dffs [2]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst78|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst26|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst78|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst78|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst26|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hCCAC; +defparam \inst|inst4|inst26|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y16_N28 -cycloneii_lcell_comb \inst|inst4|inst69|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X32_Y13_N0 +cycloneii_lcell_comb \inst|inst4|inst22|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst69|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst71|dffs [3])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst67|dffs [3]))) +// \inst|inst4|inst22|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst21|dffs [2]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst25|dffs [2])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst21|dffs [2])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst71|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst67|dffs [3]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst25|dffs [2]), + .datac(\inst|inst4|inst21|dffs [2]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst69|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst22|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst69|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst69|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst22|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst22|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y16_N22 -cycloneii_lcell_comb \inst|inst4|inst66|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X33_Y12_N26 +cycloneii_lcell_comb \inst|inst4|inst18|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst66|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst68|dffs [3]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst65|dffs [3])) +// \inst|inst4|inst18|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst17|dffs [2]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst21|dffs [2])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst17|dffs [2])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst65|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst68|dffs [3]), + .dataa(\inst|inst4|inst21|dffs [2]), + .datab(\inst|inst4|inst17|dffs [2]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst66|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst18|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst66|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst66|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst18|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hCCAC; +defparam \inst|inst4|inst18|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y16_N2 -cycloneii_lcell_comb \inst|inst4|inst64|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X31_Y13_N26 +cycloneii_lcell_comb \inst|inst4|inst29|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst64|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst67|dffs [3])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst63|dffs [3]))) +// \inst|inst4|inst29|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst27|dffs [2]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst31|dffs [2])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst27|dffs [2])))) - .dataa(\inst|inst4|inst67|dffs [3]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst63|dffs [3]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst31|dffs [2]), + .datac(\inst|inst4|inst27|dffs [2]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst64|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst29|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst64|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst64|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst29|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst29|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y16_N26 -cycloneii_lcell_comb \inst|inst4|inst70|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X32_Y14_N0 +cycloneii_lcell_comb \inst|inst4|inst24|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst70|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst73|dffs [3])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst68|dffs [3]))) +// \inst|inst4|inst24|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst23|dffs [2]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst27|dffs [2])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst23|dffs [2])))) - .dataa(\inst|inst4|inst73|dffs [3]), - .datab(\inst|inst4|inst68|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst27|dffs [2]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst23|dffs [2]), .cin(gnd), - .combout(\inst|inst4|inst70|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst24|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst70|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst70|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst24|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hFD08; +defparam \inst|inst4|inst24|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y18_N20 -cycloneii_lcell_comb \inst|inst4|inst94|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X31_Y13_N12 +cycloneii_lcell_comb \inst|inst4|inst20|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst94|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst96|dffs [3]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst93|dffs [3])) +// \inst|inst4|inst20|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst19|dffs [2]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst23|dffs [2])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst19|dffs [2])))) - .dataa(\inst|inst4|inst93|dffs [3]), - .datab(\inst|inst4|inst96|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst23|dffs [2]), + .datac(\inst|inst4|inst19|dffs [2]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst94|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst20|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst94|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst94|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst20|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst20|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y17_N20 -cycloneii_lcell_comb \inst|inst4|inst90|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X33_Y13_N26 +cycloneii_lcell_comb \inst|inst4|inst16|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst90|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst93|dffs [3])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst89|dffs [3]))) +// \inst|inst4|inst16|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst14|dffs [2])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst19|dffs [2])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst14|dffs [2]))))) - .dataa(\inst|inst4|inst93|dffs [3]), - .datab(\inst|inst4|inst89|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst19|dffs [2]), + .datad(\inst|inst4|inst14|dffs [2]), .cin(gnd), - .combout(\inst|inst4|inst90|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst16|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst90|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst90|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst16|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hFB40; +defparam \inst|inst4|inst16|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y18_N6 -cycloneii_lcell_comb \inst|inst4|inst92|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X29_Y13_N6 +cycloneii_lcell_comb \inst|inst4|inst100|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst92|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst95|dffs [3])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst91|dffs [3]))) +// \inst|inst4|inst100|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst99|dffs [2])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst103|dffs [2]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst99|dffs [2])) - .dataa(\inst|inst4|inst95|dffs [3]), - .datab(\inst|inst4|inst91|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst99|dffs [2]), + .datac(\inst|inst4|inst103|dffs [2]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst92|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst100|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst92|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst92|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst100|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst100|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y17_N22 -cycloneii_lcell_comb \inst|inst4|inst88|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X29_Y13_N16 +cycloneii_lcell_comb \inst|inst4|inst98|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst88|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst91|dffs [3]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst87|dffs [3])) +// \inst|inst4|inst98|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst96|dffs [2])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst101|dffs [2]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst96|dffs [2])) - .dataa(\inst|inst4|inst87|dffs [3]), - .datab(\inst|inst4|inst91|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst96|dffs [2]), + .datac(\inst|inst4|inst101|dffs [2]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst88|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst98|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst88|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst88|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst98|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst98|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y17_N26 -cycloneii_lcell_comb \inst|inst4|inst86|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X30_Y13_N8 +cycloneii_lcell_comb \inst|inst4|inst97|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst86|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst89|dffs [3])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst85|dffs [3]))) +// \inst|inst4|inst97|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst95|dffs [2])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst99|dffs [2]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst95|dffs [2])) - .dataa(\inst|inst4|inst89|dffs [3]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst85|dffs [3]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst95|dffs [2]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst99|dffs [2]), .cin(gnd), - .combout(\inst|inst4|inst86|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst97|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst86|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst86|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst97|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hCEC4; +defparam \inst|inst4|inst97|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y17_N4 -cycloneii_lcell_comb \inst|inst4|inst83|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X25_Y14_N12 +cycloneii_lcell_comb \inst|inst4|inst38|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst83|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst85|dffs [3])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst81|dffs [3]))) +// \inst|inst4|inst38|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst37|dffs [2])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst41|dffs [2]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst37|dffs [2])) - .dataa(\inst|inst4|inst85|dffs [3]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst81|dffs [3]), + .dataa(\inst|inst4|inst37|dffs [2]), + .datab(\inst|inst4|inst41|dffs [2]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst83|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst38|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst83|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst83|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst38|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hAACA; +defparam \inst|inst4|inst38|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y17_N0 -cycloneii_lcell_comb \inst|inst4|inst84|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X22_Y13_N6 +cycloneii_lcell_comb \inst|inst4|inst60|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst84|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst87|dffs [3])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst82|dffs [3]))) +// \inst|inst4|inst60|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst59|dffs [2])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst63|dffs [2]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst59|dffs [2])))) - .dataa(\inst|inst4|inst87|dffs [3]), - .datab(\inst|inst4|inst82|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst59|dffs [2]), + .datac(\inst|inst4|inst63|dffs [2]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst84|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst60|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst84|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst84|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst60|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hD8CC; +defparam \inst|inst4|inst60|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y17_N18 -cycloneii_lcell_comb \inst|inst4|inst80|$00000|auto_generated|result_node[3]~1 ( +// Location: LCCOMB_X23_Y14_N24 +cycloneii_lcell_comb \inst|inst4|inst50|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst80|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst82|dffs [3])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst79|dffs [3]))) +// \inst|inst4|inst50|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst49|dffs [2])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst53|dffs [2]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst49|dffs [2])) - .dataa(\inst|inst4|inst82|dffs [3]), - .datab(\inst|inst4|inst79|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst49|dffs [2]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst53|dffs [2]), .cin(gnd), - .combout(\inst|inst4|inst80|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\inst|inst4|inst50|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst80|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst80|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst50|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hCEC4; +defparam \inst|inst4|inst50|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y15_N20 -cycloneii_lcell_comb \inst|inst4|inst12|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X22_Y14_N28 +cycloneii_lcell_comb \inst|inst4|inst55|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst12|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst14|dffs [2]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst11|dffs [2])) +// \inst|inst4|inst55|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst53|dffs [2]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst57|dffs [2])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst53|dffs [2])))) - .dataa(\inst|inst4|inst11|dffs [2]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst14|dffs [2]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst57|dffs [2]), + .datac(\inst|inst4|inst53|dffs [2]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst12|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst55|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst12|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst12|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst55|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst55|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y15_N0 -cycloneii_lcell_comb \inst|inst4|inst10|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X23_Y14_N26 +cycloneii_lcell_comb \inst|inst4|inst52|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst10|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst13|dffs [2])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst9|dffs [2]))) +// \inst|inst4|inst52|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst51|dffs [2])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst54|dffs [2])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst51|dffs [2]))))) - .dataa(\inst|inst4|inst13|dffs [2]), - .datab(\inst|inst4|inst9|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst54|dffs [2]), + .datab(\inst|inst4|inst51|dffs [2]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst10|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst52|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst10|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst10|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst52|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hCACC; +defparam \inst|inst4|inst52|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y15_N30 -cycloneii_lcell_comb \inst|inst4|inst8|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X23_Y13_N16 +cycloneii_lcell_comb \inst|inst4|inst64|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst8|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst11|dffs [2])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst7|dffs [2]))) +// \inst|inst4|inst64|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst63|dffs [2])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst67|dffs [2]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst63|dffs [2])))) - .dataa(\inst|inst4|inst11|dffs [2]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst7|dffs [2]), + .dataa(\inst|inst4|inst63|dffs [2]), + .datab(\inst|inst4|inst67|dffs [2]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst8|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst64|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst8|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst8|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst64|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hACAA; +defparam \inst|inst4|inst64|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y15_N16 -cycloneii_lcell_comb \inst|inst4|inst15|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X22_Y10_N16 +cycloneii_lcell_comb \inst|inst4|inst70|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst15|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst17|dffs [2])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst13|dffs [2]))) +// \inst|inst4|inst70|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst68|dffs [2]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst73|dffs [2])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst68|dffs [2])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst17|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst13|dffs [2]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst73|dffs [2]), + .datac(\inst|inst4|inst68|dffs [2]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst15|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst70|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst15|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst15|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst70|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst70|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y14_N16 -cycloneii_lcell_comb \inst|inst4|inst4|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X29_Y10_N4 +cycloneii_lcell_comb \inst|inst4|inst90|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst4|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst7|dffs [2]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst2|dffs [2])) +// \inst|inst4|inst90|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst89|dffs [2])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst93|dffs [2]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst89|dffs [2])) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst2|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst7|dffs [2]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst89|dffs [2]), + .datac(\inst|inst4|inst93|dffs [2]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst4|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst90|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst4|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst4|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst90|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst90|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y14_N2 -cycloneii_lcell_comb \inst|inst4|inst3|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X29_Y11_N22 +cycloneii_lcell_comb \inst|inst4|inst88|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst3|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst5|dffs [2])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst|dffs [2]))) +// \inst|inst4|inst88|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst87|dffs [2]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst91|dffs [2])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst87|dffs [2])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst5|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst|dffs [2]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst91|dffs [2]), + .datac(\inst|inst4|inst87|dffs [2]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst3|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst88|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst3|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst3|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst88|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst88|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y14_N4 -cycloneii_lcell_comb \inst|inst4|inst1|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X30_Y12_N8 +cycloneii_lcell_comb \inst|inst4|inst94|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst1|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst2|dffs [2] & \inst|inst4|inst108~combout ) +// \inst|inst4|inst94|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst93|dffs [2])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst96|dffs [2]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst93|dffs [2])))) - .dataa(\inst|inst4|inst2|dffs [2]), - .datab(vcc), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst93|dffs [2]), + .datad(\inst|inst4|inst96|dffs [2]), .cin(gnd), - .combout(\inst|inst4|inst1|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst94|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst1|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hAA00; -defparam \inst|inst4|inst1|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst94|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hF4B0; +defparam \inst|inst4|inst94|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y15_N4 -cycloneii_lcell_comb \inst|inst4|inst6|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X29_Y10_N22 +cycloneii_lcell_comb \inst|inst4|inst92|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst6|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst9|dffs [2]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst5|dffs [2])) +// \inst|inst4|inst92|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst91|dffs [2])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst95|dffs [2]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst91|dffs [2])) - .dataa(\inst|inst4|inst5|dffs [2]), - .datab(\inst|inst4|inst9|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst91|dffs [2]), + .datab(\inst|inst4|inst95|dffs [2]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst6|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst92|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst6|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst6|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst92|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hAACA; +defparam \inst|inst4|inst92|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y18_N4 -cycloneii_lcell_comb \inst|inst4|inst30|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X26_Y11_N12 +cycloneii_lcell_comb \inst|inst4|inst83|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst30|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst33|dffs [2])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst28|dffs [2]))) +// \inst|inst4|inst83|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst81|dffs [2])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst85|dffs [2]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst81|dffs [2])) - .dataa(\inst|inst4|inst33|dffs [2]), - .datab(\inst|inst4|inst28|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst81|dffs [2]), + .datab(\inst|inst4|inst85|dffs [2]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst30|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst83|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst30|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst30|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst83|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hAACA; +defparam \inst|inst4|inst83|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y15_N2 -cycloneii_lcell_comb \inst|inst4|inst26|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X26_Y11_N30 +cycloneii_lcell_comb \inst|inst4|inst80|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst26|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst28|dffs [2])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst25|dffs [2]))) +// \inst|inst4|inst80|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst79|dffs [2])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst82|dffs [2]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst79|dffs [2])) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst28|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst25|dffs [2]), + .dataa(\inst|inst4|inst79|dffs [2]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst82|dffs [2]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst26|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst80|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst26|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst26|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst80|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hAAE2; +defparam \inst|inst4|inst80|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y16_N16 -cycloneii_lcell_comb \inst|inst4|inst22|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X24_Y13_N20 +cycloneii_lcell_comb \inst|inst4|inst86|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst22|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst25|dffs [2]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst21|dffs [2])) +// \inst|inst4|inst86|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst85|dffs [2])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst89|dffs [2])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst85|dffs [2]))))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst21|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst25|dffs [2]), + .dataa(\inst|inst4|inst89|dffs [2]), + .datab(\inst|inst4|inst85|dffs [2]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst22|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst86|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst22|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst22|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst86|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hCACC; +defparam \inst|inst4|inst86|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y15_N26 -cycloneii_lcell_comb \inst|inst4|inst18|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X24_Y13_N30 +cycloneii_lcell_comb \inst|inst4|inst84|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst18|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst21|dffs [2]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst17|dffs [2])) +// \inst|inst4|inst84|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst82|dffs [2])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst87|dffs [2])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst82|dffs [2]))))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst17|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst21|dffs [2]), + .dataa(\inst|inst4|inst87|dffs [2]), + .datab(\inst|inst4|inst82|dffs [2]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst18|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst84|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst18|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst18|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst84|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hCACC; +defparam \inst|inst4|inst84|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y18_N20 -cycloneii_lcell_comb \inst|inst4|inst29|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X35_Y14_N30 +cycloneii_lcell_comb \inst|inst4|inst12|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst29|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst31|dffs [2]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst27|dffs [2])) +// \inst|inst4|inst12|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst11|dffs [5])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst14|dffs [5])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst11|dffs [5]))))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst27|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst31|dffs [2]), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst14|dffs [5]), + .datad(\inst|inst4|inst11|dffs [5]), .cin(gnd), - .combout(\inst|inst4|inst29|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst12|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst29|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst29|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst12|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hFB40; +defparam \inst|inst4|inst12|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y16_N2 -cycloneii_lcell_comb \inst|inst4|inst24|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X34_Y14_N24 +cycloneii_lcell_comb \inst|inst4|inst10|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst24|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst27|dffs [2])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst23|dffs [2]))) +// \inst|inst4|inst10|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst9|dffs [5]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst13|dffs [5])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst9|dffs [5])))) - .dataa(\inst|inst4|inst27|dffs [2]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst23|dffs [2]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst13|dffs [5]), + .datad(\inst|inst4|inst9|dffs [5]), .cin(gnd), - .combout(\inst|inst4|inst24|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst10|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst24|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst24|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst10|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hFD20; +defparam \inst|inst4|inst10|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y16_N28 -cycloneii_lcell_comb \inst|inst4|inst20|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X35_Y14_N24 +cycloneii_lcell_comb \inst|inst4|inst8|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst20|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst23|dffs [2])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst19|dffs [2]))) +// \inst|inst4|inst8|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst7|dffs [5])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst11|dffs [5]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst7|dffs [5])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst23|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst19|dffs [2]), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst7|dffs [5]), + .datad(\inst|inst4|inst11|dffs [5]), .cin(gnd), - .combout(\inst|inst4|inst20|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst8|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst20|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst20|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst8|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hF4B0; +defparam \inst|inst4|inst8|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y15_N2 -cycloneii_lcell_comb \inst|inst4|inst16|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X34_Y14_N10 +cycloneii_lcell_comb \inst|inst4|inst15|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst16|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst19|dffs [2])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst14|dffs [2]))) +// \inst|inst4|inst15|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst13|dffs [5])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst17|dffs [5]))))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst13|dffs [5])))) - .dataa(\inst|inst4|inst19|dffs [2]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst14|dffs [2]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst13|dffs [5]), + .datad(\inst|inst4|inst17|dffs [5]), .cin(gnd), - .combout(\inst|inst4|inst16|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst15|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst16|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst16|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst15|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hF2D0; +defparam \inst|inst4|inst15|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y18_N8 -cycloneii_lcell_comb \inst|inst4|inst100|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X35_Y14_N2 +cycloneii_lcell_comb \inst|inst4|inst4|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst100|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst103|dffs [2]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst99|dffs [2])) +// \inst|inst4|inst4|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst2|dffs [5])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst7|dffs [5])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst2|dffs [5]))))) - .dataa(\inst|inst4|inst99|dffs [2]), - .datab(\inst|inst4|inst103|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst7|dffs [5]), + .datad(\inst|inst4|inst2|dffs [5]), .cin(gnd), - .combout(\inst|inst4|inst100|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst4|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst100|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst100|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst4|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hFB40; +defparam \inst|inst4|inst4|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y18_N10 -cycloneii_lcell_comb \inst|inst4|inst98|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X36_Y14_N22 +cycloneii_lcell_comb \inst|inst4|inst3|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst98|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst101|dffs [2])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst96|dffs [2]))) +// \inst|inst4|inst3|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst|dffs [5])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst5|dffs [5])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst|dffs [5]))))) - .dataa(\inst|inst4|inst101|dffs [2]), - .datab(\inst|inst4|inst96|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst5|dffs [5]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst|dffs [5]), .cin(gnd), - .combout(\inst|inst4|inst98|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst3|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst98|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst98|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst3|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hEF40; +defparam \inst|inst4|inst3|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y18_N20 -cycloneii_lcell_comb \inst|inst4|inst97|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X36_Y14_N24 +cycloneii_lcell_comb \inst|inst4|inst1|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst97|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst99|dffs [2]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst95|dffs [2])) +// \inst|inst4|inst1|$00000|auto_generated|result_node[5]~3_combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout & (\inst|inst4|inst108~0_combout & \inst|inst4|inst2|dffs [5]))) - .dataa(\inst|inst4|inst95|dffs [2]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst99|dffs [2]), + .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout ), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst2|dffs [5]), .cin(gnd), - .combout(\inst|inst4|inst97|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst1|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst97|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst97|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst1|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'h1000; +defparam \inst|inst4|inst1|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y18_N16 -cycloneii_lcell_comb \inst|inst4|inst102|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X35_Y14_N28 +cycloneii_lcell_comb \inst|inst4|inst6|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst102|$00000|auto_generated|result_node[2]~2_combout = (!\inst|inst4|inst108~combout & \inst|inst4|inst101|dffs [2]) +// \inst|inst4|inst6|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst5|dffs [5])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst9|dffs [5])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst5|dffs [5]))))) - .dataa(vcc), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst101|dffs [2]), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst9|dffs [5]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst5|dffs [5]), .cin(gnd), - .combout(\inst|inst4|inst102|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst6|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst102|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'h3300; -defparam \inst|inst4|inst102|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst6|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hEF40; +defparam \inst|inst4|inst6|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y17_N24 -cycloneii_lcell_comb \inst|inst4|inst44|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X31_Y12_N18 +cycloneii_lcell_comb \inst|inst4|inst26|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst44|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst47|dffs [2])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst42|dffs [2]))) +// \inst|inst4|inst26|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst25|dffs [5]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst28|dffs [5])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst25|dffs [5])))) - .dataa(\inst|inst4|inst47|dffs [2]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst42|dffs [2]), + .dataa(\inst|inst4|inst28|dffs [5]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst25|dffs [5]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst44|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst26|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst44|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst44|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst26|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hF0B8; +defparam \inst|inst4|inst26|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y17_N8 -cycloneii_lcell_comb \inst|inst4|inst43|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X31_Y12_N28 +cycloneii_lcell_comb \inst|inst4|inst29|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst43|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst45|dffs [2])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst41|dffs [2]))) +// \inst|inst4|inst29|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst27|dffs [5])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst31|dffs [5]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst27|dffs [5])) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst45|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst41|dffs [2]), + .dataa(\inst|inst4|inst27|dffs [5]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst31|dffs [5]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst43|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst29|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst43|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst43|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst29|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hAAE2; +defparam \inst|inst4|inst29|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y17_N28 -cycloneii_lcell_comb \inst|inst4|inst40|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X32_Y14_N10 +cycloneii_lcell_comb \inst|inst4|inst24|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst40|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst42|dffs [2])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst39|dffs [2]))) +// \inst|inst4|inst24|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst23|dffs [5])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst27|dffs [5]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst23|dffs [5])) - .dataa(\inst|inst4|inst42|dffs [2]), - .datab(\inst|inst4|inst39|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst23|dffs [5]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst27|dffs [5]), .cin(gnd), - .combout(\inst|inst4|inst40|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst24|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst40|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst40|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst24|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hCEC4; +defparam \inst|inst4|inst24|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y17_N28 -cycloneii_lcell_comb \inst|inst4|inst46|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X32_Y13_N26 +cycloneii_lcell_comb \inst|inst4|inst22|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst46|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst49|dffs [2])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst45|dffs [2]))) +// \inst|inst4|inst22|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst21|dffs [5])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst25|dffs [5]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst21|dffs [5])) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst49|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst45|dffs [2]), + .dataa(\inst|inst4|inst21|dffs [5]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst25|dffs [5]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst46|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst22|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst46|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst46|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst22|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hAAE2; +defparam \inst|inst4|inst22|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y17_N30 -cycloneii_lcell_comb \inst|inst4|inst36|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X33_Y12_N28 +cycloneii_lcell_comb \inst|inst4|inst18|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst36|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst39|dffs [2])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst35|dffs [2]))) +// \inst|inst4|inst18|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst17|dffs [5]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst21|dffs [5])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst17|dffs [5])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst39|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst35|dffs [2]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst21|dffs [5]), + .datac(\inst|inst4|inst17|dffs [5]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst36|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst18|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst36|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst36|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst18|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst18|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y18_N6 -cycloneii_lcell_comb \inst|inst4|inst34|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X32_Y13_N28 +cycloneii_lcell_comb \inst|inst4|inst20|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst34|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst37|dffs [2]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst33|dffs [2])) +// \inst|inst4|inst20|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst19|dffs [5]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst23|dffs [5])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst19|dffs [5])))) - .dataa(\inst|inst4|inst33|dffs [2]), - .datab(\inst|inst4|inst37|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst23|dffs [5]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst19|dffs [5]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst34|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst20|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst34|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst34|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst20|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hF0B8; +defparam \inst|inst4|inst20|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y18_N14 -cycloneii_lcell_comb \inst|inst4|inst32|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X35_Y14_N22 +cycloneii_lcell_comb \inst|inst4|inst16|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst32|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst35|dffs [2]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst31|dffs [2])) +// \inst|inst4|inst16|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst14|dffs [5]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst19|dffs [5])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst14|dffs [5])))) - .dataa(\inst|inst4|inst31|dffs [2]), - .datab(\inst|inst4|inst35|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst19|dffs [5]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst14|dffs [5]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst32|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst16|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst32|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst32|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst16|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hF0B8; +defparam \inst|inst4|inst16|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y17_N26 -cycloneii_lcell_comb \inst|inst4|inst38|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X33_Y12_N14 +cycloneii_lcell_comb \inst|inst4|inst100|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst38|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst41|dffs [2]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst37|dffs [2])) +// \inst|inst4|inst100|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst99|dffs [5])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst103|dffs [5]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst99|dffs [5])) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst37|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst41|dffs [2]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst99|dffs [5]), + .datac(\inst|inst4|inst103|dffs [5]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst38|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst100|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst38|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst38|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst100|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst100|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y18_N24 -cycloneii_lcell_comb \inst|inst4|inst62|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X29_Y13_N10 +cycloneii_lcell_comb \inst|inst4|inst98|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst62|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst65|dffs [2])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst61|dffs [2]))) +// \inst|inst4|inst98|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst96|dffs [5])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst101|dffs [5]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst96|dffs [5])) - .dataa(\inst|inst4|inst65|dffs [2]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst61|dffs [2]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst96|dffs [5]), + .datac(\inst|inst4|inst101|dffs [5]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst62|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst98|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst62|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst62|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst98|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst98|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y18_N18 -cycloneii_lcell_comb \inst|inst4|inst76|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X30_Y14_N24 +cycloneii_lcell_comb \inst|inst4|inst102|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst76|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst79|dffs [2]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst75|dffs [2])) +// \inst|inst4|inst102|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst101|dffs [5] & (((\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout ) # (\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout )) # +// (!\inst|inst4|inst108~0_combout ))) - .dataa(\inst|inst4|inst75|dffs [2]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst79|dffs [2]), + .dataa(\inst|inst4|inst101|dffs [5]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), .cin(gnd), - .combout(\inst|inst4|inst76|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst102|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst76|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst76|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst102|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hAAA2; +defparam \inst|inst4|inst102|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y16_N20 -cycloneii_lcell_comb \inst|inst4|inst69|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X24_Y12_N24 +cycloneii_lcell_comb \inst|inst4|inst44|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst69|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst71|dffs [2]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst67|dffs [2])) +// \inst|inst4|inst44|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst42|dffs [5]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst47|dffs [5])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst42|dffs [5])))) - .dataa(\inst|inst4|inst67|dffs [2]), - .datab(\inst|inst4|inst71|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst47|dffs [5]), + .datad(\inst|inst4|inst42|dffs [5]), .cin(gnd), - .combout(\inst|inst4|inst69|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst44|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst69|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst69|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst44|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hFD20; +defparam \inst|inst4|inst44|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y16_N20 -cycloneii_lcell_comb \inst|inst4|inst66|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X24_Y12_N10 +cycloneii_lcell_comb \inst|inst4|inst43|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst66|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst68|dffs [2]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst65|dffs [2])) +// \inst|inst4|inst43|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst41|dffs [5]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst45|dffs [5])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst41|dffs [5])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst65|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst68|dffs [2]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst45|dffs [5]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst41|dffs [5]), .cin(gnd), - .combout(\inst|inst4|inst66|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst43|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst66|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst66|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst43|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hFD08; +defparam \inst|inst4|inst43|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y16_N14 -cycloneii_lcell_comb \inst|inst4|inst64|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X24_Y12_N20 +cycloneii_lcell_comb \inst|inst4|inst40|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst64|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst67|dffs [2])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst63|dffs [2]))) +// \inst|inst4|inst40|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst39|dffs [5])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst42|dffs [5]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst39|dffs [5])) - .dataa(\inst|inst4|inst67|dffs [2]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst63|dffs [2]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst39|dffs [5]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst42|dffs [5]), .cin(gnd), - .combout(\inst|inst4|inst64|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst40|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst64|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst64|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst40|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hCEC4; +defparam \inst|inst4|inst40|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y18_N0 -cycloneii_lcell_comb \inst|inst4|inst94|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X25_Y15_N10 +cycloneii_lcell_comb \inst|inst4|inst46|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst94|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst96|dffs [2])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst93|dffs [2]))) +// \inst|inst4|inst46|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst45|dffs [5])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst49|dffs [5]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst45|dffs [5])))) - .dataa(\inst|inst4|inst96|dffs [2]), - .datab(\inst|inst4|inst93|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst45|dffs [5]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst49|dffs [5]), .cin(gnd), - .combout(\inst|inst4|inst94|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst46|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst94|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst94|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst46|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hDC8C; +defparam \inst|inst4|inst46|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y17_N0 -cycloneii_lcell_comb \inst|inst4|inst83|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X23_Y10_N12 +cycloneii_lcell_comb \inst|inst4|inst58|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst83|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst85|dffs [2])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst81|dffs [2]))) +// \inst|inst4|inst58|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst57|dffs [5])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst61|dffs [5]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst57|dffs [5])))) - .dataa(\inst|inst4|inst85|dffs [2]), - .datab(\inst|inst4|inst81|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst57|dffs [5]), + .datab(\inst|inst4|inst61|dffs [5]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst83|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst58|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst83|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst83|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst58|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hACAA; +defparam \inst|inst4|inst58|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y17_N10 -cycloneii_lcell_comb \inst|inst4|inst84|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X23_Y10_N22 +cycloneii_lcell_comb \inst|inst4|inst60|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst84|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst87|dffs [2])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst82|dffs [2]))) +// \inst|inst4|inst60|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst59|dffs [5])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst63|dffs [5]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst59|dffs [5])))) - .dataa(\inst|inst4|inst87|dffs [2]), - .datab(\inst|inst4|inst82|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst59|dffs [5]), + .datab(\inst|inst4|inst63|dffs [5]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst84|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst60|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst84|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst84|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst60|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hACAA; +defparam \inst|inst4|inst60|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X36_Y15_N8 -cycloneii_lcell_comb \inst|inst4|inst12|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X22_Y14_N6 +cycloneii_lcell_comb \inst|inst4|inst56|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst12|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst14|dffs [5]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst11|dffs [5])) +// \inst|inst4|inst56|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst54|dffs [5]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst59|dffs [5])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst54|dffs [5])))) - .dataa(\inst|inst4|inst11|dffs [5]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst14|dffs [5]), + .dataa(\inst|inst4|inst59|dffs [5]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst54|dffs [5]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst12|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst56|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst12|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst12|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst56|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hF0B8; +defparam \inst|inst4|inst56|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X36_Y15_N10 -cycloneii_lcell_comb \inst|inst4|inst10|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X22_Y14_N16 +cycloneii_lcell_comb \inst|inst4|inst55|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst10|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst13|dffs [5]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst9|dffs [5])) +// \inst|inst4|inst55|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst53|dffs [5]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst57|dffs [5])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst53|dffs [5])))) - .dataa(\inst|inst4|inst9|dffs [5]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst13|dffs [5]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst57|dffs [5]), + .datac(\inst|inst4|inst53|dffs [5]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst10|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst55|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst10|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst10|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst55|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst55|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y15_N28 -cycloneii_lcell_comb \inst|inst4|inst15|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X23_Y14_N12 +cycloneii_lcell_comb \inst|inst4|inst50|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst15|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst17|dffs [5]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst13|dffs [5])) +// \inst|inst4|inst50|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst49|dffs [5])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst53|dffs [5]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst49|dffs [5])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst13|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst17|dffs [5]), + .dataa(\inst|inst4|inst49|dffs [5]), + .datab(\inst|inst4|inst53|dffs [5]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst15|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst50|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst15|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst15|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst50|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hACAA; +defparam \inst|inst4|inst50|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y18_N16 -cycloneii_lcell_comb \inst|inst4|inst30|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X23_Y14_N22 +cycloneii_lcell_comb \inst|inst4|inst52|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst30|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst33|dffs [5]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst28|dffs [5])) +// \inst|inst4|inst52|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst51|dffs [5])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst54|dffs [5])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst51|dffs [5]))))) - .dataa(\inst|inst4|inst28|dffs [5]), - .datab(\inst|inst4|inst33|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst54|dffs [5]), + .datab(\inst|inst4|inst51|dffs [5]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst30|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst52|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst30|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst30|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst52|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hCACC; +defparam \inst|inst4|inst52|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y16_N6 -cycloneii_lcell_comb \inst|inst4|inst26|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X24_Y12_N2 +cycloneii_lcell_comb \inst|inst4|inst48|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst26|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst28|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst25|dffs [5]))) +// \inst|inst4|inst48|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst47|dffs [5])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst51|dffs [5]))))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst47|dffs [5])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst28|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst25|dffs [5]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst47|dffs [5]), + .datad(\inst|inst4|inst51|dffs [5]), .cin(gnd), - .combout(\inst|inst4|inst26|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst48|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst26|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst26|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst48|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hF2D0; +defparam \inst|inst4|inst48|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y16_N0 -cycloneii_lcell_comb \inst|inst4|inst29|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X23_Y11_N26 +cycloneii_lcell_comb \inst|inst4|inst66|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst29|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst31|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst27|dffs [5]))) +// \inst|inst4|inst66|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst65|dffs [5]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst68|dffs [5])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst65|dffs [5])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst31|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst27|dffs [5]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst68|dffs [5]), + .datac(\inst|inst4|inst65|dffs [5]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst29|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst66|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst29|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst29|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst66|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst66|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y16_N14 -cycloneii_lcell_comb \inst|inst4|inst24|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X30_Y11_N2 +cycloneii_lcell_comb \inst|inst4|inst88|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst24|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst27|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst23|dffs [5]))) +// \inst|inst4|inst88|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst87|dffs [5]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst91|dffs [5])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst87|dffs [5])))) - .dataa(\inst|inst4|inst27|dffs [5]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst23|dffs [5]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst91|dffs [5]), + .datac(\inst|inst4|inst87|dffs [5]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst24|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst88|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst24|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst24|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst88|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst88|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y16_N26 -cycloneii_lcell_comb \inst|inst4|inst22|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X30_Y11_N0 +cycloneii_lcell_comb \inst|inst4|inst80|$00000|auto_generated|result_node[5]~3 ( // Equation(s): -// \inst|inst4|inst22|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst25|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst21|dffs [5]))) +// \inst|inst4|inst80|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst79|dffs [5]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst82|dffs [5])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst79|dffs [5])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst25|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst21|dffs [5]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst82|dffs [5]), + .datac(\inst|inst4|inst79|dffs [5]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst22|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst80|$00000|auto_generated|result_node[5]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst22|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst22|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst80|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst80|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y15_N30 -cycloneii_lcell_comb \inst|inst4|inst18|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X34_Y14_N20 +cycloneii_lcell_comb \inst|inst4|inst12|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst18|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst21|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst17|dffs [5]))) +// \inst|inst4|inst12|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst11|dffs [1]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst14|dffs [1])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst11|dffs [1])))) - .dataa(\inst|inst4|inst21|dffs [5]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst17|dffs [5]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst14|dffs [1]), + .datad(\inst|inst4|inst11|dffs [1]), .cin(gnd), - .combout(\inst|inst4|inst18|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst12|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst18|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst18|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst12|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hFD20; +defparam \inst|inst4|inst12|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y16_N24 -cycloneii_lcell_comb \inst|inst4|inst20|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X34_Y14_N6 +cycloneii_lcell_comb \inst|inst4|inst10|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst20|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst23|dffs [5]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst19|dffs [5])) +// \inst|inst4|inst10|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst9|dffs [1])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst13|dffs [1]))))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst9|dffs [1])))) - .dataa(\inst|inst4|inst19|dffs [5]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst23|dffs [5]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst9|dffs [1]), + .datad(\inst|inst4|inst13|dffs [1]), .cin(gnd), - .combout(\inst|inst4|inst20|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst10|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst20|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst20|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst10|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hF2D0; +defparam \inst|inst4|inst10|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y15_N28 -cycloneii_lcell_comb \inst|inst4|inst16|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X33_Y14_N4 +cycloneii_lcell_comb \inst|inst4|inst8|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst16|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst19|dffs [5]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst14|dffs [5])) +// \inst|inst4|inst8|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst7|dffs [1]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst11|dffs [1])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst7|dffs [1])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst14|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst19|dffs [5]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst11|dffs [1]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst7|dffs [1]), .cin(gnd), - .combout(\inst|inst4|inst16|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst8|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst16|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst16|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst8|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hFD08; +defparam \inst|inst4|inst8|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y18_N14 -cycloneii_lcell_comb \inst|inst4|inst100|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X36_Y14_N2 +cycloneii_lcell_comb \inst|inst4|inst3|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst100|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst103|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst99|dffs [5]))) +// \inst|inst4|inst3|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst|dffs [1])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst5|dffs [1])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst|dffs [1]))))) - .dataa(\inst|inst4|inst103|dffs [5]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst99|dffs [5]), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst5|dffs [1]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst|dffs [1]), .cin(gnd), - .combout(\inst|inst4|inst100|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst3|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst100|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst100|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst3|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hEF40; +defparam \inst|inst4|inst3|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y18_N2 -cycloneii_lcell_comb \inst|inst4|inst98|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X33_Y14_N16 +cycloneii_lcell_comb \inst|inst4|inst4|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst98|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst101|dffs [5]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst96|dffs [5])) +// \inst|inst4|inst4|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst2|dffs [1])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst7|dffs [1]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst2|dffs [1])) - .dataa(\inst|inst4|inst96|dffs [5]), - .datab(\inst|inst4|inst101|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst2|dffs [1]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst7|dffs [1]), .cin(gnd), - .combout(\inst|inst4|inst98|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst4|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst98|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst98|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst4|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hCEC4; +defparam \inst|inst4|inst4|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y18_N24 -cycloneii_lcell_comb \inst|inst4|inst97|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X36_Y14_N20 +cycloneii_lcell_comb \inst|inst4|inst1|$00000|auto_generated|result_node[1] ( // Equation(s): -// \inst|inst4|inst97|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst99|dffs [5]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst95|dffs [5])) +// \inst|inst4|inst1|$00000|auto_generated|result_node [1] = (\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ) # ((\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout ) # ((\inst|inst4|inst2|dffs [1]) # (!\inst|inst4|inst108~0_combout ))) - .dataa(\inst|inst4|inst95|dffs [5]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst99|dffs [5]), + .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout ), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst2|dffs [1]), .cin(gnd), - .combout(\inst|inst4|inst97|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst1|$00000|auto_generated|result_node [1]), .cout()); // synopsys translate_off -defparam \inst|inst4|inst97|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst97|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst1|$00000|auto_generated|result_node[1] .lut_mask = 16'hFFEF; +defparam \inst|inst4|inst1|$00000|auto_generated|result_node[1] .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y18_N20 -cycloneii_lcell_comb \inst|inst4|inst102|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X36_Y13_N6 +cycloneii_lcell_comb \inst|inst4|inst6|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst102|$00000|auto_generated|result_node[5]~3_combout = (!\inst|inst4|inst108~combout & \inst|inst4|inst101|dffs [5]) +// \inst|inst4|inst6|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst5|dffs [1]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst9|dffs [1])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst5|dffs [1])))) - .dataa(vcc), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst101|dffs [5]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst9|dffs [1]), + .datad(\inst|inst4|inst5|dffs [1]), .cin(gnd), - .combout(\inst|inst4|inst102|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst6|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst102|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'h3300; -defparam \inst|inst4|inst102|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst6|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hFD20; +defparam \inst|inst4|inst6|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y17_N16 -cycloneii_lcell_comb \inst|inst4|inst40|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X26_Y14_N8 +cycloneii_lcell_comb \inst|inst4|inst26|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst40|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst42|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst39|dffs [5]))) +// \inst|inst4|inst26|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst25|dffs [1])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst28|dffs [1]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst25|dffs [1])) - .dataa(\inst|inst4|inst42|dffs [5]), - .datab(\inst|inst4|inst39|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst25|dffs [1]), + .datab(\inst|inst4|inst28|dffs [1]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst40|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst26|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst40|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst40|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst26|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hAACA; +defparam \inst|inst4|inst26|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y18_N4 -cycloneii_lcell_comb \inst|inst4|inst62|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X32_Y14_N28 +cycloneii_lcell_comb \inst|inst4|inst24|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst62|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst65|dffs [5]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst61|dffs [5])) +// \inst|inst4|inst24|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst23|dffs [1])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst27|dffs [1])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst23|dffs [1]))))) - .dataa(\inst|inst4|inst61|dffs [5]), - .datab(\inst|inst4|inst65|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst27|dffs [1]), + .datab(\inst|inst4|inst23|dffs [1]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst62|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst24|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst62|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst62|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst24|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hCACC; +defparam \inst|inst4|inst24|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X36_Y18_N20 -cycloneii_lcell_comb \inst|inst4|inst58|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X33_Y14_N2 +cycloneii_lcell_comb \inst|inst4|inst18|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst58|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst61|dffs [5]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst57|dffs [5])) +// \inst|inst4|inst18|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst17|dffs [1])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst21|dffs [1]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst17|dffs [1])))) - .dataa(\inst|inst4|inst57|dffs [5]), - .datab(\inst|inst4|inst61|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst17|dffs [1]), + .datab(\inst|inst4|inst21|dffs [1]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst58|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst18|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst58|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst58|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst18|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hACAA; +defparam \inst|inst4|inst18|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y18_N6 -cycloneii_lcell_comb \inst|inst4|inst60|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X26_Y14_N6 +cycloneii_lcell_comb \inst|inst4|inst44|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst60|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst63|dffs [5]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst59|dffs [5])) +// \inst|inst4|inst44|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst42|dffs [1])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst47|dffs [1]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst42|dffs [1])) - .dataa(\inst|inst4|inst59|dffs [5]), - .datab(\inst|inst4|inst63|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst42|dffs [1]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst47|dffs [1]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst60|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst44|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst60|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst60|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst44|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hAAE2; +defparam \inst|inst4|inst44|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X37_Y18_N22 -cycloneii_lcell_comb \inst|inst4|inst56|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X26_Y14_N24 +cycloneii_lcell_comb \inst|inst4|inst43|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst56|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst59|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst54|dffs [5]))) +// \inst|inst4|inst43|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst41|dffs [1])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst45|dffs [1]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst41|dffs [1])) - .dataa(\inst|inst4|inst59|dffs [5]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst54|dffs [5]), + .dataa(\inst|inst4|inst41|dffs [1]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst45|dffs [1]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst56|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst43|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst56|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst56|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst43|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hAAE2; +defparam \inst|inst4|inst43|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y18_N30 -cycloneii_lcell_comb \inst|inst4|inst76|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X25_Y13_N26 +cycloneii_lcell_comb \inst|inst4|inst34|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst76|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst79|dffs [5]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst75|dffs [5])) +// \inst|inst4|inst34|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst33|dffs [1]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst37|dffs [1])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst33|dffs [1])))) - .dataa(\inst|inst4|inst75|dffs [5]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst79|dffs [5]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst37|dffs [1]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst33|dffs [1]), .cin(gnd), - .combout(\inst|inst4|inst76|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst34|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst76|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst76|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst34|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hFD08; +defparam \inst|inst4|inst34|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y16_N28 -cycloneii_lcell_comb \inst|inst4|inst74|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X21_Y13_N24 +cycloneii_lcell_comb \inst|inst4|inst58|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst74|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst77|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst73|dffs [5]))) +// \inst|inst4|inst58|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst57|dffs [1]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst61|dffs [1])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst57|dffs [1])))) - .dataa(\inst|inst4|inst77|dffs [5]), - .datab(\inst|inst4|inst73|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst61|dffs [1]), + .datac(\inst|inst4|inst57|dffs [1]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst74|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst58|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst74|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst74|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst58|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst58|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y16_N14 -cycloneii_lcell_comb \inst|inst4|inst72|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X21_Y13_N2 +cycloneii_lcell_comb \inst|inst4|inst55|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst72|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst75|dffs [5]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst71|dffs [5])) +// \inst|inst4|inst55|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst53|dffs [1]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst57|dffs [1])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst53|dffs [1])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst71|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst75|dffs [5]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst57|dffs [1]), + .datac(\inst|inst4|inst53|dffs [1]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst72|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst55|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst72|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst72|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst55|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst55|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y17_N8 -cycloneii_lcell_comb \inst|inst4|inst78|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X25_Y10_N14 +cycloneii_lcell_comb \inst|inst4|inst76|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst78|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst81|dffs [5]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst77|dffs [5])) +// \inst|inst4|inst76|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst75|dffs [1])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst79|dffs [1]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst75|dffs [1])) - .dataa(\inst|inst4|inst77|dffs [5]), - .datab(\inst|inst4|inst81|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst75|dffs [1]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst79|dffs [1]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst78|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst76|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst78|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst78|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst76|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hAAE2; +defparam \inst|inst4|inst76|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y16_N0 -cycloneii_lcell_comb \inst|inst4|inst69|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X25_Y10_N24 +cycloneii_lcell_comb \inst|inst4|inst74|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst69|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst71|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst67|dffs [5]))) +// \inst|inst4|inst74|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst73|dffs [1]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst77|dffs [1])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst73|dffs [1])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst71|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst67|dffs [5]), + .dataa(\inst|inst4|inst77|dffs [1]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst73|dffs [1]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst69|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst74|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst69|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst69|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst74|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hF0B8; +defparam \inst|inst4|inst74|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y16_N18 -cycloneii_lcell_comb \inst|inst4|inst66|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X25_Y10_N28 +cycloneii_lcell_comb \inst|inst4|inst78|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst66|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst68|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst65|dffs [5]))) +// \inst|inst4|inst78|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst77|dffs [1])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst81|dffs [1]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst77|dffs [1])) - .dataa(\inst|inst4|inst68|dffs [5]), - .datab(\inst|inst4|inst65|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst77|dffs [1]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst81|dffs [1]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst66|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst78|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst66|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst66|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst78|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hAAE2; +defparam \inst|inst4|inst78|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y16_N16 -cycloneii_lcell_comb \inst|inst4|inst64|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X30_Y12_N26 +cycloneii_lcell_comb \inst|inst4|inst90|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst64|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst67|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst63|dffs [5]))) +// \inst|inst4|inst90|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst89|dffs [1]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst93|dffs [1])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst89|dffs [1])))) - .dataa(\inst|inst4|inst67|dffs [5]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst63|dffs [5]), + .dataa(\inst|inst4|inst93|dffs [1]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst89|dffs [1]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst64|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst90|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst64|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst64|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst90|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hF0B8; +defparam \inst|inst4|inst90|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y16_N24 -cycloneii_lcell_comb \inst|inst4|inst70|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X29_Y11_N8 +cycloneii_lcell_comb \inst|inst4|inst88|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst70|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst73|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst68|dffs [5]))) +// \inst|inst4|inst88|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst87|dffs [1]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst91|dffs [1])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst87|dffs [1])))) - .dataa(\inst|inst4|inst73|dffs [5]), - .datab(\inst|inst4|inst68|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst91|dffs [1]), + .datac(\inst|inst4|inst87|dffs [1]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst70|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst88|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst70|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst70|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst88|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst88|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y17_N4 -cycloneii_lcell_comb \inst|inst4|inst90|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X30_Y12_N20 +cycloneii_lcell_comb \inst|inst4|inst94|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst90|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst93|dffs [5]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst89|dffs [5])) +// \inst|inst4|inst94|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst93|dffs [1])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst96|dffs [1]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst93|dffs [1])) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst89|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst93|dffs [5]), + .dataa(\inst|inst4|inst93|dffs [1]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst96|dffs [1]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst90|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst94|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst90|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst90|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst94|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hAAE2; +defparam \inst|inst4|inst94|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y17_N14 -cycloneii_lcell_comb \inst|inst4|inst88|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X30_Y12_N14 +cycloneii_lcell_comb \inst|inst4|inst92|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst88|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst91|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst87|dffs [5]))) +// \inst|inst4|inst92|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst91|dffs [1])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst95|dffs [1])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst91|dffs [1]))))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst91|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst87|dffs [5]), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst95|dffs [1]), + .datac(\inst|inst4|inst91|dffs [1]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst88|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst92|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst88|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst88|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst92|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hE4F0; +defparam \inst|inst4|inst92|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y18_N12 -cycloneii_lcell_comb \inst|inst4|inst94|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X26_Y13_N8 +cycloneii_lcell_comb \inst|inst4|inst83|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst94|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst96|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst93|dffs [5]))) +// \inst|inst4|inst83|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst81|dffs [1])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst85|dffs [1]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst81|dffs [1])))) - .dataa(\inst|inst4|inst96|dffs [5]), - .datab(\inst|inst4|inst93|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst81|dffs [1]), + .datab(\inst|inst4|inst85|dffs [1]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst94|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst83|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst94|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst94|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst83|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hACAA; +defparam \inst|inst4|inst83|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y18_N14 -cycloneii_lcell_comb \inst|inst4|inst92|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X25_Y10_N6 +cycloneii_lcell_comb \inst|inst4|inst80|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst92|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst95|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst91|dffs [5]))) +// \inst|inst4|inst80|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst79|dffs [1])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst82|dffs [1])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst79|dffs [1]))))) - .dataa(\inst|inst4|inst95|dffs [5]), - .datab(\inst|inst4|inst91|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst82|dffs [1]), + .datac(\inst|inst4|inst79|dffs [1]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst92|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst80|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst92|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst92|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst80|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hE4F0; +defparam \inst|inst4|inst80|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y17_N18 -cycloneii_lcell_comb \inst|inst4|inst83|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X26_Y13_N10 +cycloneii_lcell_comb \inst|inst4|inst86|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst83|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst85|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst81|dffs [5]))) +// \inst|inst4|inst86|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst85|dffs [1])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst89|dffs [1])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst85|dffs [1]))))) - .dataa(\inst|inst4|inst85|dffs [5]), - .datab(\inst|inst4|inst81|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst89|dffs [1]), + .datab(\inst|inst4|inst85|dffs [1]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst83|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst86|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst83|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst83|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst86|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hCACC; +defparam \inst|inst4|inst86|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y17_N12 -cycloneii_lcell_comb \inst|inst4|inst80|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X26_Y13_N28 +cycloneii_lcell_comb \inst|inst4|inst84|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst80|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst82|dffs [5]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst79|dffs [5])) +// \inst|inst4|inst84|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst82|dffs [1])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst87|dffs [1]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst82|dffs [1])))) - .dataa(\inst|inst4|inst79|dffs [5]), - .datab(\inst|inst4|inst82|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst82|dffs [1]), + .datab(\inst|inst4|inst87|dffs [1]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst80|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst84|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst80|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst80|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst84|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hACAA; +defparam \inst|inst4|inst84|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y17_N16 -cycloneii_lcell_comb \inst|inst4|inst86|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X37_Y14_N18 +cycloneii_lcell_comb \inst|inst4|inst12|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst86|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst89|dffs [5]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst85|dffs [5])) +// \inst|inst4|inst12|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst11|dffs [0])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst14|dffs [0]))))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst11|dffs [0])))) - .dataa(\inst|inst4|inst85|dffs [5]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst89|dffs [5]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst11|dffs [0]), + .datad(\inst|inst4|inst14|dffs [0]), .cin(gnd), - .combout(\inst|inst4|inst86|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst12|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst86|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst86|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst12|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hF2D0; +defparam \inst|inst4|inst12|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y17_N22 -cycloneii_lcell_comb \inst|inst4|inst84|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X37_Y14_N4 +cycloneii_lcell_comb \inst|inst4|inst8|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst84|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst87|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst82|dffs [5]))) +// \inst|inst4|inst8|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst7|dffs [0]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst11|dffs [0])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst7|dffs [0])))) - .dataa(\inst|inst4|inst87|dffs [5]), - .datab(\inst|inst4|inst82|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst11|dffs [0]), + .datad(\inst|inst4|inst7|dffs [0]), .cin(gnd), - .combout(\inst|inst4|inst84|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst8|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst84|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst84|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst8|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hFD20; +defparam \inst|inst4|inst8|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y18_N2 -cycloneii_lcell_comb \inst|inst4|inst97|$00000|auto_generated|result_node[1]~4 ( +// Location: LCCOMB_X33_Y13_N22 +cycloneii_lcell_comb \inst|inst4|inst15|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst97|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst99|dffs [1]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst95|dffs [1])) +// \inst|inst4|inst15|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst13|dffs [0]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst17|dffs [0])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst13|dffs [0])))) - .dataa(\inst|inst4|inst95|dffs [1]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst99|dffs [1]), + .dataa(\inst|inst4|inst17|dffs [0]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst13|dffs [0]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst97|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\inst|inst4|inst15|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst97|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst97|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst15|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hF0B8; +defparam \inst|inst4|inst15|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y17_N24 -cycloneii_lcell_comb \inst|inst4|inst90|$00000|auto_generated|result_node[1]~4 ( +// Location: LCCOMB_X37_Y14_N14 +cycloneii_lcell_comb \inst|inst4|inst4|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst90|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst93|dffs [1])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst89|dffs [1]))) +// \inst|inst4|inst4|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst2|dffs [0])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst7|dffs [0]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst2|dffs [0])) - .dataa(\inst|inst4|inst93|dffs [1]), - .datab(\inst|inst4|inst89|dffs [1]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst2|dffs [0]), + .datab(\inst|inst4|inst7|dffs [0]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst90|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\inst|inst4|inst4|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst90|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst90|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst4|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hAACA; +defparam \inst|inst4|inst4|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y17_N26 -cycloneii_lcell_comb \inst|inst4|inst88|$00000|auto_generated|result_node[1]~4 ( +// Location: LCCOMB_X37_Y14_N24 +cycloneii_lcell_comb \inst|inst4|inst3|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst88|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst91|dffs [1])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst87|dffs [1]))) +// \inst|inst4|inst3|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst|dffs [0])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst5|dffs [0]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst|dffs [0])))) - .dataa(\inst|inst4|inst91|dffs [1]), - .datab(\inst|inst4|inst87|dffs [1]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst|dffs [0]), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst5|dffs [0]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst88|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\inst|inst4|inst3|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst88|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst88|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst3|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hB8AA; +defparam \inst|inst4|inst3|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y18_N8 -cycloneii_lcell_comb \inst|inst4|inst94|$00000|auto_generated|result_node[1]~4 ( +// Location: LCCOMB_X36_Y14_N6 +cycloneii_lcell_comb \inst|inst4|inst1|$00000|auto_generated|result_node[0]~4 ( // Equation(s): -// \inst|inst4|inst94|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst96|dffs [1])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst93|dffs [1]))) +// \inst|inst4|inst1|$00000|auto_generated|result_node[0]~4_combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout & (\inst|inst4|inst108~0_combout & \inst|inst4|inst2|dffs [0]))) - .dataa(\inst|inst4|inst96|dffs [1]), - .datab(\inst|inst4|inst93|dffs [1]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout ), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst2|dffs [0]), .cin(gnd), - .combout(\inst|inst4|inst94|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\inst|inst4|inst1|$00000|auto_generated|result_node[0]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst94|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst94|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst1|$00000|auto_generated|result_node[0]~4 .lut_mask = 16'h1000; +defparam \inst|inst4|inst1|$00000|auto_generated|result_node[0]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y18_N18 -cycloneii_lcell_comb \inst|inst4|inst92|$00000|auto_generated|result_node[1]~4 ( +// Location: LCCOMB_X37_Y14_N2 +cycloneii_lcell_comb \inst|inst4|inst6|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst92|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst95|dffs [1]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst91|dffs [1])) +// \inst|inst4|inst6|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst5|dffs [0])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst9|dffs [0])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst5|dffs [0]))))) - .dataa(\inst|inst4|inst91|dffs [1]), - .datab(\inst|inst4|inst95|dffs [1]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst9|dffs [0]), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst5|dffs [0]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst92|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\inst|inst4|inst6|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst92|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst92|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst6|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hE2F0; +defparam \inst|inst4|inst6|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y15_N12 -cycloneii_lcell_comb \inst|inst4|inst12|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X31_Y14_N18 +cycloneii_lcell_comb \inst|inst4|inst30|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst12|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst14|dffs [0]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst11|dffs [0])) +// \inst|inst4|inst30|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst28|dffs [0]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst33|dffs [0])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst28|dffs [0])))) - .dataa(\inst|inst4|inst11|dffs [0]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst14|dffs [0]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst33|dffs [0]), + .datac(\inst|inst4|inst28|dffs [0]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst12|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst30|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst12|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst12|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst30|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst30|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y15_N12 -cycloneii_lcell_comb \inst|inst4|inst10|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X32_Y14_N14 +cycloneii_lcell_comb \inst|inst4|inst24|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst10|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst13|dffs [0]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst9|dffs [0])) +// \inst|inst4|inst24|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst23|dffs [0]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst27|dffs [0])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst23|dffs [0])))) - .dataa(\inst|inst4|inst9|dffs [0]), - .datab(\inst|inst4|inst13|dffs [0]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst27|dffs [0]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst23|dffs [0]), .cin(gnd), - .combout(\inst|inst4|inst10|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst24|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst10|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst10|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst24|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hFD08; +defparam \inst|inst4|inst24|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y15_N14 -cycloneii_lcell_comb \inst|inst4|inst8|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X37_Y14_N6 +cycloneii_lcell_comb \inst|inst4|inst16|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst8|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst11|dffs [0]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst7|dffs [0])) +// \inst|inst4|inst16|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst14|dffs [0]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst19|dffs [0])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst14|dffs [0])))) - .dataa(\inst|inst4|inst7|dffs [0]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst11|dffs [0]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst19|dffs [0]), + .datad(\inst|inst4|inst14|dffs [0]), .cin(gnd), - .combout(\inst|inst4|inst8|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst16|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst8|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst8|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst16|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hFD20; +defparam \inst|inst4|inst16|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y15_N12 -cycloneii_lcell_comb \inst|inst4|inst15|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X29_Y14_N14 +cycloneii_lcell_comb \inst|inst4|inst100|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst15|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst17|dffs [0]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst13|dffs [0])) +// \inst|inst4|inst100|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst99|dffs [0])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst103|dffs [0]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst99|dffs [0])) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst13|dffs [0]), - .datac(vcc), - .datad(\inst|inst4|inst17|dffs [0]), + .dataa(\inst|inst4|inst99|dffs [0]), + .datab(\inst|inst4|inst103|dffs [0]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst15|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst100|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst15|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst15|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst100|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hAACA; +defparam \inst|inst4|inst100|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y15_N26 -cycloneii_lcell_comb \inst|inst4|inst4|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X29_Y13_N22 +cycloneii_lcell_comb \inst|inst4|inst98|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst4|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst7|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst2|dffs [0]))) +// \inst|inst4|inst98|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst96|dffs [0])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst101|dffs [0]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst96|dffs [0])))) - .dataa(\inst|inst4|inst7|dffs [0]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst2|dffs [0]), + .dataa(\inst|inst4|inst96|dffs [0]), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst101|dffs [0]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst4|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst98|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst4|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst4|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst98|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hB8AA; +defparam \inst|inst4|inst98|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y15_N12 -cycloneii_lcell_comb \inst|inst4|inst3|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X30_Y13_N30 +cycloneii_lcell_comb \inst|inst4|inst97|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst3|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst5|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst|dffs [0]))) +// \inst|inst4|inst97|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst95|dffs [0]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst99|dffs [0])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst95|dffs [0])))) - .dataa(\inst|inst4|inst5|dffs [0]), - .datab(\inst|inst4|inst|dffs [0]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst99|dffs [0]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst95|dffs [0]), .cin(gnd), - .combout(\inst|inst4|inst3|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst97|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst3|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst3|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst97|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hFD08; +defparam \inst|inst4|inst97|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y14_N2 -cycloneii_lcell_comb \inst|inst4|inst1|$00000|auto_generated|result_node[0]~4 ( +// Location: LCCOMB_X30_Y14_N20 +cycloneii_lcell_comb \inst|inst4|inst102|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst1|$00000|auto_generated|result_node[0]~4_combout = (\inst|inst4|inst2|dffs [0] & \inst|inst4|inst108~combout ) +// \inst|inst4|inst102|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst101|dffs [0] & (((\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout ) # (\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout )) # +// (!\inst|inst4|inst108~0_combout ))) - .dataa(vcc), - .datab(\inst|inst4|inst2|dffs [0]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst101|dffs [0]), + .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), .cin(gnd), - .combout(\inst|inst4|inst1|$00000|auto_generated|result_node[0]~4_combout ), + .combout(\inst|inst4|inst102|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst1|$00000|auto_generated|result_node[0]~4 .lut_mask = 16'hCC00; -defparam \inst|inst4|inst1|$00000|auto_generated|result_node[0]~4 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst102|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hCCC4; +defparam \inst|inst4|inst102|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y15_N14 -cycloneii_lcell_comb \inst|inst4|inst6|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X31_Y14_N4 +cycloneii_lcell_comb \inst|inst4|inst36|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst6|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst9|dffs [0]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst5|dffs [0])) +// \inst|inst4|inst36|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst35|dffs [0])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst39|dffs [0]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst35|dffs [0])))) - .dataa(\inst|inst4|inst5|dffs [0]), - .datab(\inst|inst4|inst9|dffs [0]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst35|dffs [0]), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst39|dffs [0]), .cin(gnd), - .combout(\inst|inst4|inst6|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst36|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst6|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst6|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst36|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hBA8A; +defparam \inst|inst4|inst36|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y18_N14 -cycloneii_lcell_comb \inst|inst4|inst30|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X25_Y13_N30 +cycloneii_lcell_comb \inst|inst4|inst34|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst30|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst33|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst28|dffs [0]))) +// \inst|inst4|inst34|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst33|dffs [0])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst37|dffs [0]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst33|dffs [0])))) - .dataa(\inst|inst4|inst33|dffs [0]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst28|dffs [0]), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst33|dffs [0]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst37|dffs [0]), .cin(gnd), - .combout(\inst|inst4|inst30|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst34|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst30|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst30|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst34|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hDC8C; +defparam \inst|inst4|inst34|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y15_N22 -cycloneii_lcell_comb \inst|inst4|inst26|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X31_Y14_N6 +cycloneii_lcell_comb \inst|inst4|inst32|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst26|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst28|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst25|dffs [0]))) +// \inst|inst4|inst32|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst31|dffs [0])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst35|dffs [0])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst31|dffs [0]))))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst28|dffs [0]), - .datac(vcc), - .datad(\inst|inst4|inst25|dffs [0]), + .dataa(\inst|inst4|inst35|dffs [0]), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst31|dffs [0]), .cin(gnd), - .combout(\inst|inst4|inst26|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst32|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst26|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst26|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst32|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hEF20; +defparam \inst|inst4|inst32|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y18_N12 -cycloneii_lcell_comb \inst|inst4|inst29|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X24_Y14_N20 +cycloneii_lcell_comb \inst|inst4|inst38|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst29|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst31|dffs [0]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst27|dffs [0])) +// \inst|inst4|inst38|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst37|dffs [0])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst41|dffs [0]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst37|dffs [0])) - .dataa(\inst|inst4|inst27|dffs [0]), - .datab(\inst|inst4|inst31|dffs [0]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst37|dffs [0]), + .datac(\inst|inst4|inst41|dffs [0]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst29|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst38|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst29|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst29|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst38|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst38|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y16_N20 -cycloneii_lcell_comb \inst|inst4|inst24|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X23_Y11_N20 +cycloneii_lcell_comb \inst|inst4|inst58|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst24|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst27|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst23|dffs [0]))) +// \inst|inst4|inst58|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst57|dffs [0])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst61|dffs [0]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst57|dffs [0])) - .dataa(\inst|inst4|inst27|dffs [0]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst23|dffs [0]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst57|dffs [0]), + .datac(\inst|inst4|inst61|dffs [0]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst24|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst58|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst24|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst24|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst58|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst58|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y16_N24 -cycloneii_lcell_comb \inst|inst4|inst22|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X27_Y10_N22 +cycloneii_lcell_comb \inst|inst4|inst76|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst22|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst25|dffs [0]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst21|dffs [0])) +// \inst|inst4|inst76|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst75|dffs [0])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst79|dffs [0]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst75|dffs [0])) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst21|dffs [0]), - .datac(vcc), - .datad(\inst|inst4|inst25|dffs [0]), + .dataa(\inst|inst4|inst75|dffs [0]), + .datab(\inst|inst4|inst79|dffs [0]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst22|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst76|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst22|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst22|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst76|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hAACA; +defparam \inst|inst4|inst76|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y15_N14 -cycloneii_lcell_comb \inst|inst4|inst18|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X27_Y10_N8 +cycloneii_lcell_comb \inst|inst4|inst74|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst18|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst21|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst17|dffs [0]))) +// \inst|inst4|inst74|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst73|dffs [0]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst77|dffs [0])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst73|dffs [0])))) - .dataa(\inst|inst4|inst21|dffs [0]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst17|dffs [0]), + .dataa(\inst|inst4|inst77|dffs [0]), + .datab(\inst|inst4|inst73|dffs [0]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst18|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst74|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst18|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst18|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst74|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hCCAC; +defparam \inst|inst4|inst74|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y16_N30 -cycloneii_lcell_comb \inst|inst4|inst20|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X29_Y12_N26 +cycloneii_lcell_comb \inst|inst4|inst90|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst20|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst23|dffs [0]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst19|dffs [0])) +// \inst|inst4|inst90|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst89|dffs [0])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst93|dffs [0]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst89|dffs [0])) - .dataa(\inst|inst4|inst19|dffs [0]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst23|dffs [0]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst89|dffs [0]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst93|dffs [0]), .cin(gnd), - .combout(\inst|inst4|inst20|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst90|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst20|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst20|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst90|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hCEC4; +defparam \inst|inst4|inst90|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y15_N12 -cycloneii_lcell_comb \inst|inst4|inst16|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X29_Y11_N26 +cycloneii_lcell_comb \inst|inst4|inst88|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst16|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst19|dffs [0]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst14|dffs [0])) +// \inst|inst4|inst88|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst87|dffs [0]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst91|dffs [0])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst87|dffs [0])))) - .dataa(\inst|inst4|inst14|dffs [0]), - .datab(\inst|inst4|inst19|dffs [0]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst91|dffs [0]), + .datac(\inst|inst4|inst87|dffs [0]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst16|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst88|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst16|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst16|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst88|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst88|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y18_N4 -cycloneii_lcell_comb \inst|inst4|inst98|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X29_Y12_N20 +cycloneii_lcell_comb \inst|inst4|inst94|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst98|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst101|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst96|dffs [0]))) +// \inst|inst4|inst94|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst93|dffs [0]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst96|dffs [0])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst93|dffs [0])))) - .dataa(\inst|inst4|inst101|dffs [0]), + .dataa(\inst|inst4|inst108~0_combout ), .datab(\inst|inst4|inst96|dffs [0]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), - .cin(gnd), - .combout(\inst|inst4|inst98|$00000|auto_generated|result_node[0]~5_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst98|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst98|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X31_Y18_N28 -cycloneii_lcell_comb \inst|inst4|inst36|$00000|auto_generated|result_node[0]~5 ( -// Equation(s): -// \inst|inst4|inst36|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst39|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst35|dffs [0]))) - - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst39|dffs [0]), - .datac(vcc), - .datad(\inst|inst4|inst35|dffs [0]), - .cin(gnd), - .combout(\inst|inst4|inst36|$00000|auto_generated|result_node[0]~5_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst36|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst36|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X31_Y18_N30 -cycloneii_lcell_comb \inst|inst4|inst34|$00000|auto_generated|result_node[0]~5 ( -// Equation(s): -// \inst|inst4|inst34|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst37|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst33|dffs [0]))) - - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst37|dffs [0]), - .datac(vcc), - .datad(\inst|inst4|inst33|dffs [0]), - .cin(gnd), - .combout(\inst|inst4|inst34|$00000|auto_generated|result_node[0]~5_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst34|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst34|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X32_Y18_N30 -cycloneii_lcell_comb \inst|inst4|inst32|$00000|auto_generated|result_node[0]~5 ( -// Equation(s): -// \inst|inst4|inst32|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst35|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst31|dffs [0]))) - - .dataa(\inst|inst4|inst35|dffs [0]), - .datab(\inst|inst4|inst31|dffs [0]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), - .cin(gnd), - .combout(\inst|inst4|inst32|$00000|auto_generated|result_node[0]~5_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst32|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst32|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X35_Y18_N30 -cycloneii_lcell_comb \inst|inst4|inst60|$00000|auto_generated|result_node[0]~5 ( -// Equation(s): -// \inst|inst4|inst60|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst63|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst59|dffs [0]))) - - .dataa(\inst|inst4|inst63|dffs [0]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst59|dffs [0]), - .cin(gnd), - .combout(\inst|inst4|inst60|$00000|auto_generated|result_node[0]~5_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst60|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst60|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X25_Y18_N14 -cycloneii_lcell_comb \inst|inst4|inst76|$00000|auto_generated|result_node[0]~5 ( -// Equation(s): -// \inst|inst4|inst76|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst79|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst75|dffs [0]))) - - .dataa(\inst|inst4|inst79|dffs [0]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst75|dffs [0]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst93|dffs [0]), .cin(gnd), - .combout(\inst|inst4|inst76|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst94|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst76|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst76|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst94|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hFD08; +defparam \inst|inst4|inst94|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y16_N20 -cycloneii_lcell_comb \inst|inst4|inst74|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X29_Y12_N30 +cycloneii_lcell_comb \inst|inst4|inst92|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst74|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst77|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst73|dffs [0]))) +// \inst|inst4|inst92|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst91|dffs [0])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst95|dffs [0]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst91|dffs [0])))) - .dataa(\inst|inst4|inst77|dffs [0]), - .datab(\inst|inst4|inst73|dffs [0]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst91|dffs [0]), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst95|dffs [0]), .cin(gnd), - .combout(\inst|inst4|inst74|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst92|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst74|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst74|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst92|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hBA8A; +defparam \inst|inst4|inst92|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y17_N30 -cycloneii_lcell_comb \inst|inst4|inst78|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X27_Y11_N6 +cycloneii_lcell_comb \inst|inst4|inst86|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst78|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst81|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst77|dffs [0]))) +// \inst|inst4|inst86|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst85|dffs [0]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst89|dffs [0])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst85|dffs [0])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst81|dffs [0]), - .datac(vcc), - .datad(\inst|inst4|inst77|dffs [0]), + .dataa(\inst|inst4|inst89|dffs [0]), + .datab(\inst|inst4|inst85|dffs [0]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst78|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst86|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst78|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst78|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst86|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hCCAC; +defparam \inst|inst4|inst86|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y16_N12 -cycloneii_lcell_comb \inst|inst4|inst69|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X26_Y12_N6 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~153 ( // Equation(s): -// \inst|inst4|inst69|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst71|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst67|dffs [0]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~153_combout = ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~42_combout & (!\addr~combout [3] & !\addr~combout [2]))) # (!\addr~combout [4]) - .dataa(\inst|inst4|inst71|dffs [0]), - .datab(\inst|inst4|inst67|dffs [0]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~42_combout ), + .datab(\addr~combout [3]), + .datac(\addr~combout [4]), + .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst69|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~153_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst69|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst69|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~153 .lut_mask = 16'h0F2F; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~153 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y16_N12 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~155 ( +// Location: LCCOMB_X27_Y14_N30 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~157 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~155_combout = ((!\addr~combout [3] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~88_combout & !\addr~combout [2]))) # (!\addr~combout [4]) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~157_combout = ((!\addr~combout [2] & (!\addr~combout [3] & \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~134_combout ))) # (!\addr~combout [4]) - .dataa(\addr~combout [3]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~88_combout ), - .datac(\addr~combout [4]), - .datad(\addr~combout [2]), + .dataa(\addr~combout [2]), + .datab(\addr~combout [4]), + .datac(\addr~combout [3]), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~134_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~155_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~157_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~155 .lut_mask = 16'h0F4F; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~155 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~157 .lut_mask = 16'h3733; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~157 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y19_N0 +// Location: LCCOMB_X30_Y18_N16 cycloneii_lcell_comb \inst|inst6|Mux4~0_wirecell ( // Equation(s): // \inst|inst6|Mux4~0_wirecell_combout = !\inst|inst6|Mux4~0_combout @@ -10236,7 +10370,7 @@ defparam \inst|inst6|Mux4~0_wirecell .lut_mask = 16'h00FF; defparam \inst|inst6|Mux4~0_wirecell .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X23_Y17_N10 +// Location: LCCOMB_X32_Y15_N12 cycloneii_lcell_comb \inst|inst4|inst119|auto_generated|aneb_result_wire[0]~_wirecell ( // Equation(s): // \inst|inst4|inst119|auto_generated|aneb_result_wire[0]~_wirecell_combout = !\inst|inst4|inst119|auto_generated|aneb_result_wire [0] @@ -10253,7 +10387,7 @@ defparam \inst|inst4|inst119|auto_generated|aneb_result_wire[0]~_wirecell .lut_m defparam \inst|inst4|inst119|auto_generated|aneb_result_wire[0]~_wirecell .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X23_Y17_N4 +// Location: LCCOMB_X32_Y15_N22 cycloneii_lcell_comb \inst|inst4|inst120|auto_generated|aneb_result_wire[0]~_wirecell ( // Equation(s): // \inst|inst4|inst120|auto_generated|aneb_result_wire[0]~_wirecell_combout = !\inst|inst4|inst120|auto_generated|aneb_result_wire [0] @@ -10270,23 +10404,6 @@ defparam \inst|inst4|inst120|auto_generated|aneb_result_wire[0]~_wirecell .lut_m defparam \inst|inst4|inst120|auto_generated|aneb_result_wire[0]~_wirecell .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X23_Y17_N6 -cycloneii_lcell_comb \mode[1]~_wirecell ( -// Equation(s): -// \mode[1]~_wirecell_combout = !\mode~combout [1] - - .dataa(vcc), - .datab(vcc), - .datac(\mode~combout [1]), - .datad(vcc), - .cin(gnd), - .combout(\mode[1]~_wirecell_combout ), - .cout()); -// synopsys translate_off -defparam \mode[1]~_wirecell .lut_mask = 16'h0F0F; -defparam \mode[1]~_wirecell .sum_lutc_input = "datac"; -// synopsys translate_on - // Location: JTAG_X1_Y14_N0 cycloneii_jtag altera_internal_jtag( .tms(\altera_reserved_tms~combout ), @@ -10305,125 +10422,33 @@ cycloneii_jtag altera_internal_jtag( .runidleuser(), .usr1user()); -// Location: LCFF_X12_Y15_N21 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[13] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~11_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(\altera_internal_jtag~TMSUTAP ), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [13])); - -// Location: LCFF_X13_Y14_N7 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~feeder_combout ), - .sdata(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg [1]), - .aclr(gnd), - .sclr(gnd), - .sload(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_proc~0_combout ), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg [0])); - -// Location: LCFF_X12_Y13_N29 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~13_combout ), - .sdata(vcc), - .aclr(gnd), - .sclr(gnd), - .sload(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~15_combout ), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~16_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [4])); - -// Location: LCFF_X12_Y13_N25 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2]~9_combout ), - .sdata(vcc), - .aclr(gnd), - .sclr(gnd), - .sload(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~15_combout ), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~16_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [2])); - -// Location: LCFF_X12_Y13_N23 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1]~7_combout ), - .sdata(vcc), - .aclr(gnd), - .sclr(gnd), - .sload(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~15_combout ), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~16_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [1])); - -// Location: LCFF_X12_Y13_N27 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3]~11_combout ), - .sdata(vcc), - .aclr(gnd), - .sclr(gnd), - .sload(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~15_combout ), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~16_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [3])); - -// Location: LCFF_X12_Y13_N21 +// Location: LCFF_X16_Y18_N21 cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[0] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[0]~5_combout ), .sdata(vcc), .aclr(gnd), .sclr(gnd), - .sload(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~15_combout ), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~16_combout ), + .sload(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~9_combout ), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~10_combout ), .devclrn(devclrn), .devpor(devpor), .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [0])); -// Location: LCCOMB_X13_Y13_N0 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~0 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~4_combout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~5_combout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg [0]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [4]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~0 .lut_mask = 16'hA0CC; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X13_Y14_N25 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[1] ( +// Location: LCFF_X13_Y17_N7 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[1]~1_combout ), - .sdata(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg [2]), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3]~16_combout ), + .sdata(gnd), .aclr(gnd), - .sclr(gnd), - .sload(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_proc~0_combout ), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~combout ), + .sclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~13_combout ), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~20_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg [1])); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [3])); -// Location: LCCOMB_X12_Y13_N20 +// Location: LCCOMB_X16_Y18_N20 cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[0]~5 ( .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [0]), .datab(vcc), @@ -10437,830 +10462,396 @@ defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[0]~5 .lut_mask defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y13_N22 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1]~7 ( - .dataa(vcc), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [1]), +// Location: LCCOMB_X13_Y17_N6 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3]~16 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [3]), + .datab(vcc), .datac(vcc), .datad(vcc), - .cin(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[0]~6 ), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1]~7_combout ), - .cout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1]~8 )); + .cin(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2]~15 ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3]~16_combout ), + .cout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3]~17 )); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1]~7 .lut_mask = 16'hC303; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1]~7 .sum_lutc_input = "cin"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3]~16 .lut_mask = 16'h5A5F; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3]~16 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X12_Y13_N24 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2]~9 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [2]), - .datab(vcc), - .datac(vcc), - .datad(vcc), - .cin(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1]~8 ), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2]~9_combout ), - .cout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2]~10 )); +// Location: LCCOMB_X14_Y17_N16 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~2 ( + .dataa(vcc), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~4_combout ), + .cin(gnd), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~2_combout ), + .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2]~9 .lut_mask = 16'h5AAF; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2]~9 .sum_lutc_input = "cin"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~2 .lut_mask = 16'hFC30; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y13_N26 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3]~11 ( - .dataa(vcc), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [3]), - .datac(vcc), - .datad(vcc), - .cin(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2]~10 ), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3]~11_combout ), - .cout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3]~12 )); +// Location: LCCOMB_X14_Y17_N10 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~3 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~2_combout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~0_combout ), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~1_combout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), + .cin(gnd), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~3_combout ), + .cout()); +// synopsys translate_off +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~3 .lut_mask = 16'hFF2A; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X11_Y17_N24 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~0 ( + .dataa(\altera_internal_jtag~TDIUTAP ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datac(\altera_internal_jtag~TMSUTAP ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8]), + .cin(gnd), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~0_combout ), + .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3]~11 .lut_mask = 16'hC303; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3]~11 .sum_lutc_input = "cin"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~0 .lut_mask = 16'hBF80; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y13_N28 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~13 ( +// Location: LCCOMB_X12_Y17_N30 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~0 ( .dataa(vcc), - .datab(vcc), + .datab(\altera_internal_jtag~TMSUTAP ), .datac(vcc), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [4]), - .cin(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3]~12 ), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~13_combout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .cin(gnd), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~0_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~13 .lut_mask = 16'h0FF0; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~13 .sum_lutc_input = "cin"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~0 .lut_mask = 16'hCC00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y14_N24 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[1]~1 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~13_combout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~7_combout ), - .datac(vcc), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg [1]), +// Location: LCCOMB_X11_Y17_N20 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~2 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_dr_scan_reg~regout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [15]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~0_combout ), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[1]~1_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~2_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[1]~1 .lut_mask = 16'hEE22; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[1]~1 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~2 .lut_mask = 16'hCCE0; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X13_Y14_N17 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[2] ( +// Location: LCFF_X12_Y17_N25 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[2]~2_combout ), - .sdata(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg [3]), - .aclr(gnd), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~6_combout ), + .sdata(gnd), + .aclr(!\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), .sclr(gnd), - .sload(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_proc~0_combout ), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg [2])); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg [2])); -// Location: LCCOMB_X13_Y14_N16 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[2]~2 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~9_combout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~7_combout ), - .datac(vcc), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg [2]), +// Location: LCCOMB_X10_Y17_N28 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~0 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [7]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [8]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [9]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [6]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[2]~2_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~0_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[2]~2 .lut_mask = 16'hEE22; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[2]~2 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~0 .lut_mask = 16'h0001; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X13_Y14_N9 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3] ( +// Location: LCFF_X14_Y18_N9 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~3_combout ), - .sdata(\altera_internal_jtag~TDIUTAP ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_proc~0_combout ), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~combout ), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg [3])); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg [0])); -// Location: LCCOMB_X13_Y14_N8 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~3 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~11_combout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~7_combout ), - .datac(vcc), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg [3]), +// Location: LCCOMB_X16_Y18_N4 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~8 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [0]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [3]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [2]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [1]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~3_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~8_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~3 .lut_mask = 16'hEE22; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~3 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~8 .lut_mask = 16'h8000; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~8 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X16_Y14_N25 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4]~feeder_combout ), - .sdata(gnd), - .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), - .sclr(gnd), - .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4]~regout )); +// Location: LCCOMB_X13_Y17_N30 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~5 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [3]), + .datab(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [2]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [0]), + .cin(gnd), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~5_combout ), + .cout()); +// synopsys translate_off +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~5 .lut_mask = 16'hA000; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~5 .sum_lutc_input = "datac"; +// synopsys translate_on -// Location: LCFF_X16_Y14_N19 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5] ( +// Location: LCCOMB_X15_Y17_N20 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~6 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [4]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[2]~1_combout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][3]~regout ), + .cin(gnd), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~6_combout ), + .cout()); +// synopsys translate_off +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~6 .lut_mask = 16'hE444; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X12_Y17_N21 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5]~feeder_combout ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg_proc~0_combout ), .sdata(gnd), - .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5]~regout )); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg~regout )); -// Location: LCFF_X16_Y14_N13 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][6] ( +// Location: LCFF_X14_Y18_N19 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][6]~feeder_combout ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~feeder_combout ), .sdata(gnd), - .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0_combout ), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][6]~regout )); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg [0])); -// Location: LCFF_X12_Y15_N11 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[14] ( +// Location: LCFF_X14_Y18_N29 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[1] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~12_combout ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[1]~feeder_combout ), .sdata(gnd), .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [14])); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg [1])); -// Location: LCCOMB_X13_Y14_N12 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~1 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [1]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg~regout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~4_combout ), +// Location: LCCOMB_X15_Y17_N10 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal3~0 ( + .dataa(vcc), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [2]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [0]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [1]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~1_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal3~0_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~1 .lut_mask = 16'h06F6; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~1 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal3~0 .lut_mask = 16'h3000; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal3~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X15_Y14_N25 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[4] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~10_combout ), - .sdata(gnd), - .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), - .sclr(gnd), - .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [4])); - -// Location: LCFF_X15_Y14_N11 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[5] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~11_combout ), - .sdata(gnd), - .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), - .sclr(gnd), - .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [5])); +// Location: LCCOMB_X13_Y17_N16 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~8 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [3]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [4]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [2]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [0]), + .cin(gnd), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~8_combout ), + .cout()); +// synopsys translate_off +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~8 .lut_mask = 16'hAF0B; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~8 .sum_lutc_input = "datac"; +// synopsys translate_on -// Location: LCFF_X15_Y14_N13 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[6] ( +// Location: LCFF_X14_Y18_N31 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[1] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~12_combout ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[1]~feeder_combout ), .sdata(gnd), - .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2_combout ), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [6])); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg [1])); -// Location: LCCOMB_X12_Y15_N24 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~0 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8]), - .datab(\altera_internal_jtag~TDIUTAP ), - .datac(\altera_internal_jtag~TMSUTAP ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), +// Location: LCCOMB_X16_Y18_N2 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~11 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [0]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [3]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [2]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [1]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~0_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~11_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~0 .lut_mask = 16'hCAAA; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~0 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~11 .lut_mask = 16'h232C; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~11 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y15_N20 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~1 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [4]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [2]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [3]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [5]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~1 .lut_mask = 16'h0010; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~1 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X12_Y15_N20 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~11 ( - .dataa(vcc), - .datab(vcc), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [13]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [12]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~11_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~11 .lut_mask = 16'hFFF0; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~11 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X12_Y15_N10 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~12 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [13]), - .datab(vcc), - .datac(\altera_internal_jtag~TMSUTAP ), - .datad(vcc), +// Location: LCCOMB_X15_Y18_N6 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~12 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~11_combout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~10_combout ), + .datac(vcc), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [4]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~12_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~12_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~12 .lut_mask = 16'hA0A0; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~12 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~12 .lut_mask = 16'hCC55; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~12 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X13_Y13_N1 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg [0]), - .aclr(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg [0])); - -// Location: LCCOMB_X12_Y13_N8 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~4 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [0]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [3]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [2]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [1]), +// Location: LCCOMB_X13_Y17_N20 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~13 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [3]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [4]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [2]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [1]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~13_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~4 .lut_mask = 16'h8000; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~13 .lut_mask = 16'h1B11; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~13 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y13_N18 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~5 ( +// Location: LCCOMB_X16_Y18_N12 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~13 ( .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [0]), .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [3]), .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [2]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [1]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~5_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~5 .lut_mask = 16'h5051; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~5 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X13_Y14_N14 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_proc~0 ( - .dataa(vcc), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_dr_scan_reg~regout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .datad(vcc), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_proc~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_proc~0 .lut_mask = 16'h3F3F; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_proc~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X13_Y14_N2 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena ( - .dataa(vcc), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_dr_scan_reg~regout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena .lut_mask = 16'hF0C0; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X11_Y14_N11 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[1] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~9_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0]~16_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR [1])); - -// Location: LCCOMB_X15_Y14_N6 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~6 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [4]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[2]~1_combout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][3]~regout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~6_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~6 .lut_mask = 16'hC0AA; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~6 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X15_Y14_N24 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~10 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4]~regout ), - .datac(vcc), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [5]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~10_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~10 .lut_mask = 16'hDD88; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~10 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X15_Y14_N10 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~11 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .datab(vcc), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [6]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5]~regout ), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~11_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~11 .lut_mask = 16'hFA50; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~11 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X15_Y14_N12 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~12 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][6]~regout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [7]), - .datad(vcc), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [4]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~12_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~13_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~12 .lut_mask = 16'hD8D8; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~12 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~13 .lut_mask = 16'h0387; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~13 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X13_Y13_N11 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~feeder_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~1_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg [0])); - -// Location: LCCOMB_X15_Y14_N0 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~0 ( +// Location: LCCOMB_X15_Y17_N12 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~4 ( .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [1]), .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [2]), .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [0]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_dr_scan_reg~regout ), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~0 .lut_mask = 16'h0800; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X14_Y14_N18 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~0 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~0_combout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg [1]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~0_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~4_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~0 .lut_mask = 16'h0200; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~0 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~4 .lut_mask = 16'h4E10; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y13_N4 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~6 ( +// Location: LCCOMB_X16_Y18_N6 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~14 ( .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [0]), - .datab(vcc), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [2]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [3]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~6_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~6 .lut_mask = 16'h0005; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~6 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X12_Y13_N14 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~15 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~6_combout ), .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [1]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [4]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|clear_signal~combout ), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~15_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~15 .lut_mask = 16'hFF02; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~15 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X12_Y14_N18 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~16 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_dr_scan_reg~regout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~16_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~16 .lut_mask = 16'hECA0; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~16 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X13_Y13_N5 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[1] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[1]~feeder_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg [1])); - -// Location: LCCOMB_X12_Y13_N16 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~7 ( - .dataa(vcc), - .datab(vcc), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~4_combout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [4]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~7_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~7 .lut_mask = 16'hF000; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~7 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X11_Y14_N0 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~8 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [2]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [3]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [4]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [0]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~8_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~8 .lut_mask = 16'hDD45; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~8 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X11_Y14_N27 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[2] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~12_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0]~16_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR [2])); - -// Location: LCCOMB_X11_Y14_N10 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~9 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~8_combout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR [2]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~4_combout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~6_combout ), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~9_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~9 .lut_mask = 16'hECA0; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~9 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X13_Y13_N7 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[1] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[1]~feeder_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~1_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg [1])); - -// Location: LCCOMB_X13_Y13_N24 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~1 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~0_combout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datad(vcc), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~1 .lut_mask = 16'h4040; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~1 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X13_Y13_N3 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[2] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[2]~feeder_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg [2])); - -// Location: LCCOMB_X12_Y13_N2 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~8 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [0]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [3]), .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [2]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [1]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [4]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~8_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~14_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~8 .lut_mask = 16'h5D78; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~8 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~14 .lut_mask = 16'h09BA; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~14 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y13_N12 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~9 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [4]), +// Location: LCCOMB_X16_Y18_N16 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~15 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~14_combout ), .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [3]), .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [2]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~8_combout ), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~9_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~9 .lut_mask = 16'h5700; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~9 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X11_Y14_N2 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~11 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [2]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [1]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~10_combout ), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~11_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~11 .lut_mask = 16'h0004; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~11 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X11_Y14_N29 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[3] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~15_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0]~16_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR [3])); - -// Location: LCCOMB_X11_Y14_N26 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~12 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|clear_signal~combout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~11_combout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR [3]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~12_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~12 .lut_mask = 16'h5444; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~12 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X13_Y13_N13 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[2] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg [3]), - .aclr(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~1_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg [2])); - -// Location: LCFF_X13_Y13_N23 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[3] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg [3]), - .aclr(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg [3])); - -// Location: LCCOMB_X11_Y14_N30 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~13 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [2]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [1]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [4]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [3]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~13_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~13 .lut_mask = 16'h440F; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~13 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X12_Y14_N28 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~14 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [0]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~14_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~14 .lut_mask = 16'h0013; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~14 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X11_Y14_N28 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~15 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~14_combout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~13_combout ), - .datac(\altera_internal_jtag~TDIUTAP ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~6_combout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [4]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~15_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~15_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~15 .lut_mask = 16'hF888; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~15 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~15 .lut_mask = 16'h022E; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~15 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X13_Y13_N17 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[3] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[3]~feeder_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~1_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg [3])); - -// Location: LCCOMB_X12_Y13_N6 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~10 ( +// Location: LCCOMB_X16_Y18_N10 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~16 ( .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [0]), .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [3]), .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [2]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [4]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~10_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~10 .lut_mask = 16'h02C1; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~10 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X12_Y13_N0 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~11 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~10_combout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [1]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [2]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [4]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [1]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~11_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~16_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~11 .lut_mask = 16'h0A6B; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~11 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~16 .lut_mask = 16'hF5B6; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~16 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y13_N10 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~12 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [0]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [3]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [2]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [1]), +// Location: LCCOMB_X15_Y17_N6 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~5 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [2]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [0]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [1]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~12_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~5_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~12 .lut_mask = 16'h85C8; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~12 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~5 .lut_mask = 16'h4000; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y13_N30 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~13 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~12_combout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [3]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [2]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [4]), +// Location: LCCOMB_X12_Y17_N24 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~6 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg~regout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~5_combout ), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg [2]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg [1]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~13_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~6_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~13 .lut_mask = 16'h028B; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~13 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~6 .lut_mask = 16'h50D8; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~6 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y18_N13 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[0] ( +// Location: LCFF_X20_Y14_N7 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[2] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0~combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2~combout ), .sdata(\auto_signaltap_0|~GND~combout ), .aclr(gnd), .sclr(gnd), @@ -11268,25 +10859,12 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [0])); - -// Location: LCFF_X19_Y14_N9 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2] ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~9_combout ), - .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [2])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [2])); -// Location: LCFF_X19_Y14_N11 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3] ( +// Location: LCFF_X18_Y16_N3 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~11_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~7_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -11294,12 +10872,12 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_b .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [3])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [1])); -// Location: LCFF_X19_Y14_N13 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4] ( +// Location: LCFF_X18_Y16_N11 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~13_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~15_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -11307,9 +10885,9 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_b .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [4])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [5])); -// Location: LCFF_X19_Y14_N19 +// Location: LCFF_X18_Y16_N15 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[7] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[7]~19_combout ), @@ -11322,105 +10900,63 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_b .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [7])); -// Location: LCCOMB_X18_Y18_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [0]), +// Location: LCCOMB_X20_Y14_N6 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [2]), .datab(vcc), .datac(vcc), .datad(vcc), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0~combout ), - .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0~COUT )); + .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1~COUT ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2~combout ), + .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2~COUT )); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0 .lut_mask = 16'h55AA; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0 .sum_lutc_input = "cin"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2 .lut_mask = 16'hA50A; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2 .sum_lutc_input = "cin"; // synopsys translate_on // Location: LCCOMB_X20_Y15_N6 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~6 ( +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~0 ( .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[3]~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[0]~regout ), .datac(vcc), .datad(vcc), - .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~5 ), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~6_combout ), - .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~7 )); + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~0_combout ), + .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~1 )); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~6 .lut_mask = 16'h3C3F; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~6 .sum_lutc_input = "cin"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~0 .lut_mask = 16'h33CC; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y15_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~8 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[4]~regout ), - .datab(vcc), +// Location: LCCOMB_X20_Y16_N12 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~0 ( + .dataa(vcc), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [0]), .datac(vcc), .datad(vcc), - .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~7 ), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~8_combout ), - .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~9 )); + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~0_combout ), + .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~1 )); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~8 .lut_mask = 16'hA50A; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~8 .sum_lutc_input = "cin"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~0 .lut_mask = 16'h33CC; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y15_N10 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~10 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[5]~regout ), - .datac(vcc), - .datad(vcc), - .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~9 ), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~10_combout ), - .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~11 )); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~10 .lut_mask = 16'h3C3F; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~10 .sum_lutc_input = "cin"; -// synopsys translate_on - -// Location: LCCOMB_X20_Y15_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~12 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[6]~regout ), - .datac(vcc), - .datad(vcc), - .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~11 ), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~12_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~12 .lut_mask = 16'hC3C3; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~12 .sum_lutc_input = "cin"; -// synopsys translate_on - -// Location: LCCOMB_X20_Y14_N6 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~0 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [0]), +// Location: LCCOMB_X18_Y16_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~7 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [0]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [1]), .datac(vcc), .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~0_combout ), - .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~1 )); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~0 .lut_mask = 16'h33CC; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X20_Y14_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~2 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [1]), - .datac(vcc), - .datad(vcc), - .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~1 ), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~2_combout ), - .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~3 )); + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~7_combout ), + .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~8 )); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~2 .lut_mask = 16'h3C3F; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~2 .sum_lutc_input = "cin"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~7 .lut_mask = 16'h9988; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~7 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y14_N10 +// Location: LCCOMB_X20_Y16_N16 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~5 ( .dataa(vcc), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [2]), @@ -11434,91 +10970,49 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_mana defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~5 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X19_Y14_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~9 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [2]), - .datac(vcc), - .datad(vcc), - .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~8 ), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~9_combout ), - .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~10 )); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~9 .lut_mask = 16'hC33F; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~9 .sum_lutc_input = "cin"; -// synopsys translate_on - -// Location: LCCOMB_X20_Y14_N12 +// Location: LCCOMB_X20_Y16_N18 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~8 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [3]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [3]), + .datab(vcc), .datac(vcc), .datad(vcc), .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~6 ), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~8_combout ), .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~9 )); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~8 .lut_mask = 16'h3C3F; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~8 .lut_mask = 16'h5A5F; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~8 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X19_Y14_N10 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~11 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [3]), - .datac(vcc), - .datad(vcc), - .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~10 ), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~11_combout ), - .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~12 )); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~11 .lut_mask = 16'h3C0C; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~11 .sum_lutc_input = "cin"; -// synopsys translate_on - -// Location: LCCOMB_X20_Y14_N14 +// Location: LCCOMB_X20_Y16_N20 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~11 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [4]), - .datab(vcc), + .dataa(vcc), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [4]), .datac(vcc), .datad(vcc), .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~9 ), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~11_combout ), .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~12 )); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~11 .lut_mask = 16'hA50A; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~11 .lut_mask = 16'hC30C; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~11 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X19_Y14_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~13 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [4]), - .datac(vcc), - .datad(vcc), - .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~12 ), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~13_combout ), - .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~14 )); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~13 .lut_mask = 16'hC33F; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~13 .sum_lutc_input = "cin"; -// synopsys translate_on - -// Location: LCCOMB_X20_Y14_N16 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~14 ( +// Location: LCCOMB_X18_Y16_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~15 ( .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [5]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [5]), .datac(vcc), .datad(vcc), - .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~12 ), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~14_combout ), - .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~15 )); + .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~14 ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~15_combout ), + .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~16 )); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~14 .lut_mask = 16'h3C3F; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~14 .sum_lutc_input = "cin"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~15 .lut_mask = 16'h3C0C; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~15 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X20_Y14_N18 +// Location: LCCOMB_X20_Y16_N24 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~17 ( .dataa(vcc), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [6]), @@ -11532,35 +11026,21 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_mana defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~17 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X19_Y14_N16 +// Location: LCCOMB_X18_Y16_N12 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6]~17 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [6]), - .datab(vcc), + .dataa(vcc), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [6]), .datac(vcc), .datad(vcc), .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~16 ), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6]~17_combout ), .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6]~18 )); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6]~17 .lut_mask = 16'hA55F; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6]~17 .lut_mask = 16'hC33F; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6]~17 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X20_Y14_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~20 ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [7]), - .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~18 ), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~20_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~20 .lut_mask = 16'h0FF0; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~20 .sum_lutc_input = "cin"; -// synopsys translate_on - -// Location: LCCOMB_X19_Y14_N18 +// Location: LCCOMB_X18_Y16_N14 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[7]~19 ( .dataa(vcc), .datab(vcc), @@ -11574,7 +11054,7 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_mana defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[7]~19 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: M4K_X17_Y19 +// Location: M4K_X41_Y18 cycloneii_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 ( .portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~regout ), .portaaddrstall(gnd), @@ -11660,7 +11140,7 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ra defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .safe_write = "err_on_2clk"; // synopsys translate_on -// Location: LCFF_X15_Y17_N7 +// Location: LCFF_X38_Y17_N17 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit1a[1] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita1~combout ), @@ -11673,7 +11153,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [1])); -// Location: LCFF_X15_Y17_N5 +// Location: LCFF_X38_Y17_N15 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit1a[0] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita0~combout ), @@ -11686,7 +11166,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [0])); -// Location: LCFF_X15_Y17_N15 +// Location: LCFF_X38_Y17_N25 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit1a[5] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita5~combout ), @@ -11699,7 +11179,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [5])); -// Location: LCFF_X15_Y17_N11 +// Location: LCFF_X38_Y17_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit1a[3] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita3~combout ), @@ -11712,7 +11192,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [3])); -// Location: LCFF_X15_Y17_N9 +// Location: LCFF_X38_Y17_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit1a[2] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita2~combout ), @@ -11725,7 +11205,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [2])); -// Location: LCFF_X15_Y17_N13 +// Location: LCFF_X38_Y17_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit1a[4] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita4~combout ), @@ -11738,7 +11218,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [4])); -// Location: LCFF_X16_Y17_N3 +// Location: LCFF_X40_Y17_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit1a[0] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita0~combout ), @@ -11751,7 +11231,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|safe_q [0])); -// Location: LCFF_X16_Y17_N5 +// Location: LCFF_X40_Y17_N15 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit1a[1] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita1~combout ), @@ -11764,7 +11244,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|safe_q [1])); -// Location: LCFF_X16_Y17_N7 +// Location: LCFF_X40_Y17_N17 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit1a[2] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita2~combout ), @@ -11777,7 +11257,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|safe_q [2])); -// Location: LCFF_X16_Y17_N9 +// Location: LCFF_X40_Y17_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit1a[3] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita3~combout ), @@ -11790,7 +11270,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|safe_q [3])); -// Location: LCFF_X16_Y17_N11 +// Location: LCFF_X40_Y17_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit1a[4] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita4~combout ), @@ -11803,7 +11283,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|safe_q [4])); -// Location: LCFF_X16_Y17_N13 +// Location: LCFF_X40_Y17_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit1a[5] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita5~combout ), @@ -11816,7 +11296,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|safe_q [5])); -// Location: LCFF_X16_Y17_N15 +// Location: LCFF_X40_Y17_N25 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit1a[6] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita6~combout ), @@ -11829,7 +11309,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|safe_q [6])); -// Location: LCCOMB_X15_Y17_N4 +// Location: LCCOMB_X38_Y17_N14 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita0 ( .dataa(vcc), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [0]), @@ -11843,7 +11323,7 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_de defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita0 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X15_Y17_N6 +// Location: LCCOMB_X38_Y17_N16 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita1 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [1]), .datab(vcc), @@ -11857,7 +11337,7 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_de defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita1 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X15_Y17_N8 +// Location: LCCOMB_X38_Y17_N18 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita2 ( .dataa(vcc), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [2]), @@ -11871,7 +11351,7 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_de defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita2 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X15_Y17_N10 +// Location: LCCOMB_X38_Y17_N20 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita3 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [3]), .datab(vcc), @@ -11885,35 +11365,35 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_de defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita3 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X15_Y17_N12 +// Location: LCCOMB_X38_Y17_N22 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita4 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [4]), - .datab(vcc), + .dataa(vcc), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [4]), .datac(vcc), .datad(vcc), .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita3~COUT ), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita4~combout ), .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita4~COUT )); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita4 .lut_mask = 16'hA50A; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita4 .lut_mask = 16'hC30C; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita4 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X15_Y17_N14 +// Location: LCCOMB_X38_Y17_N24 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita5 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [5]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [5]), + .datab(vcc), .datac(vcc), .datad(vcc), .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita4~COUT ), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita5~combout ), .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita5~COUT )); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita5 .lut_mask = 16'h3C3F; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita5 .lut_mask = 16'h5A5F; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita5 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X15_Y17_N16 +// Location: LCCOMB_X38_Y17_N26 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita5~0 ( .dataa(vcc), .datab(vcc), @@ -11927,21 +11407,21 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_de defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita5~0 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X16_Y17_N2 +// Location: LCCOMB_X40_Y17_N12 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita0 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|safe_q [0]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|safe_q [0]), + .datab(vcc), .datac(vcc), .datad(vcc), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita0~combout ), .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita0~COUT )); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita0 .lut_mask = 16'h33CC; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita0 .lut_mask = 16'h55AA; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita0 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X16_Y17_N4 +// Location: LCCOMB_X40_Y17_N14 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita1 ( .dataa(vcc), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|safe_q [1]), @@ -11955,7 +11435,7 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_de defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita1 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X16_Y17_N6 +// Location: LCCOMB_X40_Y17_N16 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita2 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|safe_q [2]), .datab(vcc), @@ -11969,7 +11449,7 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_de defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita2 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X16_Y17_N8 +// Location: LCCOMB_X40_Y17_N18 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita3 ( .dataa(vcc), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|safe_q [3]), @@ -11983,7 +11463,7 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_de defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita3 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X16_Y17_N10 +// Location: LCCOMB_X40_Y17_N20 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita4 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|safe_q [4]), .datab(vcc), @@ -11997,35 +11477,35 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_de defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita4 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X16_Y17_N12 +// Location: LCCOMB_X40_Y17_N22 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita5 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|safe_q [5]), - .datab(vcc), + .dataa(vcc), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|safe_q [5]), .datac(vcc), .datad(vcc), .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita4~COUT ), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita5~combout ), .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita5~COUT )); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita5 .lut_mask = 16'h5A5F; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita5 .lut_mask = 16'h3C3F; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita5 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X16_Y17_N14 +// Location: LCCOMB_X40_Y17_N24 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita6 ( .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|safe_q [6]), + .datab(vcc), .datac(vcc), - .datad(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|safe_q [6]), .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita5~COUT ), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita6~combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita6 .lut_mask = 16'hC3C3; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita6 .lut_mask = 16'hF00F; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita6 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: M4K_X17_Y20 +// Location: M4K_X41_Y16 cycloneii_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a36 ( .portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~regout ), .portaaddrstall(gnd), @@ -12098,75 +11578,33 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ra defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a36 .safe_write = "err_on_2clk"; // synopsys translate_on -// Location: LCFF_X18_Y16_N3 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[0] ( +// Location: LCFF_X18_Y15_N9 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[0] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~0_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~0_combout ), .sdata(gnd), - .aclr(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [0])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [0])); -// Location: LCFF_X15_Y15_N1 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out ( +// Location: LCFF_X18_Y16_N21 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[0] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~0_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [1]), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~regout )); - -// Location: LCCOMB_X15_Y15_N26 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable ( - .dataa(vcc), - .datab(vcc), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable .lut_mask = 16'hFFF0; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X15_Y15_N22 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~combout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][6]~regout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][1]~regout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0 .lut_mask = 16'h8880; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X18_Y16_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5]~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [0]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~1 .lut_mask = 16'h3210; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~1 .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [0])); -// Location: LCFF_X14_Y15_N1 +// Location: LCFF_X16_Y16_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[0] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~13_combout ), @@ -12179,34 +11617,48 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_r .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR [0])); -// Location: LCCOMB_X18_Y16_N6 +// Location: LCCOMB_X19_Y17_N14 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~2 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR [0]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~regout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5]~regout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4]~regout ), + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~regout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4]~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR [0]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5]~regout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~2_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~2 .lut_mask = 16'hFFEC; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~2 .lut_mask = 16'hFEEE; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y19_N25 +// Location: LCCOMB_X18_Y16_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~3 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][3]~regout ), + .datab(vcc), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [0]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~2_combout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~3_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~3 .lut_mask = 16'hF5A0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X42_Y17_N25 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|acq_data_in_reg[0]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\addr~combout [0]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [0])); -// Location: LCFF_X24_Y19_N3 +// Location: LCFF_X42_Y17_N3 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[1] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -12219,33 +11671,33 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[1] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [1])); -// Location: LCFF_X19_Y19_N9 +// Location: LCFF_X36_Y15_N25 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[2] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\addr~combout [2]), + .datain(\auto_signaltap_0|acq_data_in_reg[2]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [2])); -// Location: LCFF_X19_Y19_N27 +// Location: LCFF_X36_Y15_N3 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[3] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\addr~combout [3]), + .datain(\auto_signaltap_0|acq_data_in_reg[3]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [3])); -// Location: LCFF_X16_Y18_N17 +// Location: LCFF_X34_Y18_N9 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[4] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -12258,46 +11710,46 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[4] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [4])); -// Location: LCFF_X16_Y18_N3 +// Location: LCFF_X33_Y18_N9 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|acq_data_in_reg[5]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\addr~combout [5]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [5])); -// Location: LCFF_X21_Y16_N25 +// Location: LCFF_X33_Y18_N3 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[6] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|acq_data_in_reg[6]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\button~combout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [6])); -// Location: LCFF_X21_Y16_N19 +// Location: LCFF_X32_Y15_N25 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[7] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\inst|inst4|inst120|auto_generated|aneb_result_wire[0]~_wirecell_combout ), + .datain(\auto_signaltap_0|acq_data_in_reg[7]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [7])); -// Location: LCFF_X21_Y17_N9 +// Location: LCFF_X32_Y15_N3 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[8] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|acq_data_in_reg[8]~feeder_combout ), @@ -12310,20 +11762,20 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[8] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [8])); -// Location: LCFF_X11_Y21_N1 +// Location: LCFF_X30_Y18_N19 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[9] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\inst|inst6|Mux6~0_combout ), + .datain(\auto_signaltap_0|acq_data_in_reg[9]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [9])); -// Location: LCFF_X19_Y21_N1 +// Location: LCFF_X37_Y18_N17 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[10] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|acq_data_in_reg[10]~feeder_combout ), @@ -12336,20 +11788,20 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[10] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [10])); -// Location: LCFF_X13_Y19_N3 +// Location: LCFF_X30_Y18_N5 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[11] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|acq_data_in_reg[11]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\inst|inst6|Mux4~0_wirecell_combout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [11])); -// Location: LCFF_X15_Y21_N17 +// Location: LCFF_X29_Y18_N25 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[12] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|acq_data_in_reg[12]~feeder_combout ), @@ -12362,20 +11814,20 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[12] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [12])); -// Location: LCFF_X11_Y21_N3 +// Location: LCFF_X29_Y18_N19 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[13] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|acq_data_in_reg[13]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\inst|inst6|Mux2~0_combout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [13])); -// Location: LCFF_X11_Y21_N29 +// Location: LCFF_X37_Y18_N11 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[14] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -12388,7 +11840,7 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[14] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [14])); -// Location: LCFF_X11_Y19_N1 +// Location: LCFF_X27_Y18_N17 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[15] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|acq_data_in_reg[15]~feeder_combout ), @@ -12401,20 +11853,20 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[15] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [15])); -// Location: LCFF_X10_Y19_N25 +// Location: LCFF_X38_Y18_N25 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[16] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|acq_data_in_reg[16]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\inst|inst7|Mux6~4_combout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [16])); -// Location: LCFF_X10_Y19_N19 +// Location: LCFF_X25_Y19_N17 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[17] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|acq_data_in_reg[17]~feeder_combout ), @@ -12427,20 +11879,20 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[17] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [17])); -// Location: LCFF_X16_Y18_N21 +// Location: LCFF_X38_Y18_N3 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[18] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\inst|inst7|Mux4~3_combout ), + .datain(\auto_signaltap_0|acq_data_in_reg[18]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [18])); -// Location: LCFF_X14_Y20_N31 +// Location: LCFF_X29_Y19_N17 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[19] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -12453,33 +11905,33 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[19] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [19])); -// Location: LCFF_X11_Y18_N1 +// Location: LCFF_X30_Y18_N31 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[20] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|acq_data_in_reg[20]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\inst|inst7|Mux2~4_combout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [20])); -// Location: LCFF_X14_Y19_N25 +// Location: LCFF_X29_Y19_N19 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[21] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\inst|inst7|Mux1~1_combout ), + .datain(\auto_signaltap_0|acq_data_in_reg[21]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [21])); -// Location: LCFF_X10_Y19_N29 +// Location: LCFF_X24_Y16_N1 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[22] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|acq_data_in_reg[22]~feeder_combout ), @@ -12492,20 +11944,20 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[22] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [22])); -// Location: LCFF_X15_Y19_N1 +// Location: LCFF_X30_Y15_N9 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[23] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\inst|inst4|inst107~0_combout ), + .datain(\auto_signaltap_0|acq_data_in_reg[23]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [23])); -// Location: LCFF_X34_Y14_N29 +// Location: LCFF_X32_Y12_N29 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[24] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|acq_data_in_reg[24]~feeder_combout ), @@ -12518,33 +11970,33 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[24] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [24])); -// Location: LCFF_X18_Y21_N9 +// Location: LCFF_X30_Y16_N9 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[25] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|acq_data_in_reg[25]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\inst|inst4|inst108~0_combout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [25])); -// Location: LCFF_X15_Y19_N11 +// Location: LCFF_X30_Y15_N19 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[26] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\inst|inst4|inst107~0_combout ), + .datain(\auto_signaltap_0|acq_data_in_reg[26]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [26])); -// Location: LCFF_X16_Y16_N9 +// Location: LCFF_X35_Y18_N9 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[27] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -12557,7 +12009,7 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[27] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [27])); -// Location: LCFF_X23_Y17_N25 +// Location: LCFF_X27_Y16_N25 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[28] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|acq_data_in_reg[28]~feeder_combout ), @@ -12570,7 +12022,7 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[28] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [28])); -// Location: LCFF_X18_Y21_N3 +// Location: LCFF_X37_Y18_N5 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[29] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|acq_data_in_reg[29]~feeder_combout ), @@ -12583,7 +12035,7 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[29] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [29])); -// Location: LCFF_X16_Y16_N3 +// Location: LCFF_X35_Y18_N3 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[30] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -12596,20 +12048,20 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[30] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [30])); -// Location: LCFF_X20_Y19_N17 +// Location: LCFF_X32_Y19_N9 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[31] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|acq_data_in_reg[31]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\mode~combout [1]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [31])); -// Location: LCFF_X14_Y19_N19 +// Location: LCFF_X34_Y18_N11 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[32] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|acq_data_in_reg[32]~feeder_combout ), @@ -12622,33 +12074,33 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[32] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [32])); -// Location: LCFF_X19_Y19_N5 +// Location: LCFF_X36_Y15_N5 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[33] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|acq_data_in_reg[33]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\inst|inst4|inst115|auto_generated|safe_q [0]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [33])); -// Location: LCFF_X24_Y20_N1 +// Location: LCFF_X34_Y17_N9 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[34] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|acq_data_in_reg[34]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\inst|inst4|inst115|auto_generated|safe_q [1]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [34])); -// Location: LCFF_X24_Y19_N5 +// Location: LCFF_X42_Y17_N21 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[35] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -12661,7 +12113,7 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[35] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [35])); -// Location: LCFF_X18_Y20_N17 +// Location: LCFF_X39_Y16_N1 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[36] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|acq_data_in_reg[36]~feeder_combout ), @@ -12674,20 +12126,20 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[36] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [36])); -// Location: LCFF_X18_Y20_N11 +// Location: LCFF_X39_Y16_N27 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[37] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|acq_data_in_reg[37]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\inst|inst4|inst115|auto_generated|safe_q [4]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [37])); -// Location: LCFF_X25_Y20_N1 +// Location: LCFF_X40_Y16_N25 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[38] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|acq_data_in_reg[38]~feeder_combout ), @@ -12700,7 +12152,7 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[38] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [38])); -// Location: LCFF_X25_Y20_N3 +// Location: LCFF_X40_Y16_N3 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[39] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -12713,20 +12165,20 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[39] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [39])); -// Location: LCFF_X19_Y20_N1 +// Location: LCFF_X37_Y16_N25 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[40] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout ), + .datain(\auto_signaltap_0|acq_data_in_reg[40]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [40])); -// Location: LCFF_X16_Y21_N1 +// Location: LCFF_X37_Y16_N19 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[41] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|acq_data_in_reg[41]~feeder_combout ), @@ -12739,7 +12191,7 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[41] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [41])); -// Location: LCFF_X19_Y20_N19 +// Location: LCFF_X39_Y16_N5 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[42] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|acq_data_in_reg[42]~feeder_combout ), @@ -12752,7 +12204,7 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[42] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [42])); -// Location: LCFF_X14_Y20_N25 +// Location: LCFF_X25_Y19_N3 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[43] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|acq_data_in_reg[43]~feeder_combout ), @@ -12765,7 +12217,7 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[43] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [43])); -// Location: LCFF_X18_Y20_N29 +// Location: LCFF_X27_Y18_N3 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[44] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -12778,33 +12230,33 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[44] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [44])); -// Location: LCFF_X15_Y21_N11 +// Location: LCFF_X26_Y19_N23 cycloneii_lcell_ff \auto_signaltap_0|acq_data_in_reg[45] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|acq_data_in_reg[45]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_data_in_reg [45])); -// Location: LCFF_X24_Y19_N31 +// Location: LCFF_X42_Y17_N31 cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|acq_trigger_in_reg[0]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\addr~combout [0]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_trigger_in_reg [0])); -// Location: LCFF_X23_Y19_N31 +// Location: LCFF_X23_Y17_N11 cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[1] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -12817,59 +12269,59 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[1] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_trigger_in_reg [1])); -// Location: LCFF_X23_Y19_N23 +// Location: LCFF_X23_Y15_N9 cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[2] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\addr~combout [2]), + .datain(\auto_signaltap_0|acq_trigger_in_reg[2]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_trigger_in_reg [2])); -// Location: LCFF_X21_Y18_N11 +// Location: LCFF_X36_Y15_N7 cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[3] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\addr~combout [3]), + .datain(\auto_signaltap_0|acq_trigger_in_reg[3]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_trigger_in_reg [3])); -// Location: LCFF_X19_Y19_N23 +// Location: LCFF_X31_Y17_N19 cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|acq_trigger_in_reg[4]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\addr~combout [4]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_trigger_in_reg [4])); -// Location: LCFF_X22_Y18_N25 +// Location: LCFF_X31_Y17_N5 cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|acq_trigger_in_reg[5]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\addr~combout [5]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_trigger_in_reg [5])); -// Location: LCFF_X20_Y13_N1 +// Location: LCFF_X33_Y18_N29 cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[6] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -12882,20 +12334,20 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[6] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_trigger_in_reg [6])); -// Location: LCFF_X20_Y21_N9 +// Location: LCFF_X32_Y18_N31 cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[7] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\inst|inst4|inst120|auto_generated|aneb_result_wire[0]~_wirecell_combout ), + .datain(\auto_signaltap_0|acq_trigger_in_reg[7]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_trigger_in_reg [7])); -// Location: LCFF_X21_Y17_N3 +// Location: LCFF_X32_Y18_N19 cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[8] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|acq_trigger_in_reg[8]~feeder_combout ), @@ -12908,20 +12360,20 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[8] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_trigger_in_reg [8])); -// Location: LCFF_X19_Y21_N31 +// Location: LCFF_X29_Y18_N13 cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[9] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\inst|inst6|Mux6~0_combout ), + .datain(\auto_signaltap_0|acq_trigger_in_reg[9]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_trigger_in_reg [9])); -// Location: LCFF_X19_Y21_N17 +// Location: LCFF_X31_Y18_N29 cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[10] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|acq_trigger_in_reg[10]~feeder_combout ), @@ -12934,7 +12386,7 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[10] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_trigger_in_reg [10])); -// Location: LCFF_X13_Y21_N9 +// Location: LCFF_X31_Y18_N1 cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[11] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|acq_trigger_in_reg[11]~feeder_combout ), @@ -12947,20 +12399,20 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[11] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_trigger_in_reg [11])); -// Location: LCFF_X13_Y21_N11 +// Location: LCFF_X26_Y18_N17 cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[12] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|acq_trigger_in_reg[12]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\inst|inst6|Mux3~0_combout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_trigger_in_reg [12])); -// Location: LCFF_X12_Y21_N23 +// Location: LCFF_X26_Y18_N27 cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[13] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|acq_trigger_in_reg[13]~feeder_combout ), @@ -12973,20 +12425,20 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[13] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_trigger_in_reg [13])); -// Location: LCFF_X12_Y21_N9 +// Location: LCFF_X25_Y18_N9 cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[14] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|acq_trigger_in_reg[14]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\inst|inst6|Mux1~0_combout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_trigger_in_reg [14])); -// Location: LCFF_X11_Y19_N19 +// Location: LCFF_X27_Y18_N21 cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[15] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|acq_trigger_in_reg[15]~feeder_combout ), @@ -12999,7 +12451,7 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[15] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_trigger_in_reg [15])); -// Location: LCFF_X12_Y19_N13 +// Location: LCFF_X24_Y18_N29 cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[16] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -13012,7 +12464,7 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[16] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_trigger_in_reg [16])); -// Location: LCFF_X12_Y19_N25 +// Location: LCFF_X24_Y18_N13 cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[17] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|acq_trigger_in_reg[17]~feeder_combout ), @@ -13025,20 +12477,20 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[17] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_trigger_in_reg [17])); -// Location: LCFF_X12_Y18_N25 +// Location: LCFF_X23_Y18_N9 cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[18] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\inst|inst7|Mux4~3_combout ), + .datain(\auto_signaltap_0|acq_trigger_in_reg[18]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_trigger_in_reg [18])); -// Location: LCFF_X11_Y18_N19 +// Location: LCFF_X29_Y19_N29 cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[19] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -13051,36 +12503,10 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[19] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_trigger_in_reg [19])); -// Location: LCFF_X11_Y18_N13 -cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[20] ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|acq_trigger_in_reg[20]~feeder_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|acq_trigger_in_reg [20])); - -// Location: LCFF_X14_Y19_N21 -cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[21] ( - .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\inst|inst7|Mux1~1_combout ), - .aclr(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|acq_trigger_in_reg [21])); - -// Location: LCFF_X13_Y18_N29 -cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[22] ( +// Location: LCFF_X29_Y16_N11 +cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[25] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|acq_trigger_in_reg[22]~feeder_combout ), + .datain(\auto_signaltap_0|acq_trigger_in_reg[25]~feeder_combout ), .sdata(gnd), .aclr(gnd), .sclr(gnd), @@ -13088,62 +12514,10 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[22] ( .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|acq_trigger_in_reg [22])); - -// Location: LCFF_X10_Y18_N9 -cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[23] ( - .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\inst|inst4|inst107~0_combout ), - .aclr(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|acq_trigger_in_reg [23])); - -// Location: LCFF_X14_Y18_N17 -cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[24] ( - .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\~GND~combout ), - .aclr(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|acq_trigger_in_reg [24])); - -// Location: LCFF_X14_Y18_N23 -cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[25] ( - .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\inst|inst4|inst108~0_combout ), - .aclr(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), .regout(\auto_signaltap_0|acq_trigger_in_reg [25])); -// Location: LCFF_X10_Y18_N19 -cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[26] ( - .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\inst|inst4|inst107~0_combout ), - .aclr(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|acq_trigger_in_reg [26])); - -// Location: LCFF_X23_Y17_N19 -cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[27] ( +// Location: LCFF_X27_Y17_N25 +cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[30] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), .sdata(\mode~combout [0]), @@ -13153,61 +12527,22 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[27] ( .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|acq_trigger_in_reg [27])); - -// Location: LCFF_X20_Y17_N9 -cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[28] ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|acq_trigger_in_reg[28]~feeder_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|acq_trigger_in_reg [28])); - -// Location: LCFF_X23_Y17_N29 -cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[29] ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|acq_trigger_in_reg[29]~feeder_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|acq_trigger_in_reg [29])); - -// Location: LCFF_X21_Y20_N29 -cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[30] ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|acq_trigger_in_reg[30]~feeder_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), .regout(\auto_signaltap_0|acq_trigger_in_reg [30])); -// Location: LCFF_X20_Y19_N11 +// Location: LCFF_X29_Y18_N7 cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[31] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|acq_trigger_in_reg[31]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\mode~combout [1]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|acq_trigger_in_reg [31])); -// Location: LCFF_X20_Y20_N29 +// Location: LCFF_X29_Y17_N31 cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[32] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -13220,7 +12555,7 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[32] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_trigger_in_reg [32])); -// Location: LCFF_X20_Y20_N13 +// Location: LCFF_X29_Y17_N3 cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[33] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|acq_trigger_in_reg[33]~feeder_combout ), @@ -13233,111 +12568,33 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[33] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_trigger_in_reg [33])); -// Location: LCFF_X24_Y20_N19 +// Location: LCFF_X34_Y17_N19 cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[34] ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|acq_trigger_in_reg[34]~feeder_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|acq_trigger_in_reg [34])); - -// Location: LCFF_X23_Y20_N31 -cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[35] ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|acq_trigger_in_reg[35]~feeder_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|acq_trigger_in_reg [35])); - -// Location: LCFF_X23_Y20_N11 -cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[36] ( - .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\inst|inst4|inst115|auto_generated|safe_q [3]), - .aclr(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|acq_trigger_in_reg [36])); - -// Location: LCFF_X22_Y21_N9 -cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[37] ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|acq_trigger_in_reg[37]~feeder_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|acq_trigger_in_reg [37])); - -// Location: LCFF_X22_Y20_N13 -cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[38] ( - .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\inst|inst4|inst115|auto_generated|safe_q [5]), - .aclr(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|acq_trigger_in_reg [38])); - -// Location: LCFF_X22_Y20_N27 -cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[39] ( - .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\rst~combout ), - .aclr(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|acq_trigger_in_reg [39])); - -// Location: LCFF_X21_Y20_N23 -cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[40] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), - .sdata(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout ), + .sdata(\inst|inst4|inst115|auto_generated|safe_q [1]), .aclr(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|acq_trigger_in_reg [40])); + .regout(\auto_signaltap_0|acq_trigger_in_reg [34])); -// Location: LCFF_X22_Y21_N27 -cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[41] ( +// Location: LCFF_X34_Y17_N5 +cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[35] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), - .sdata(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout ), + .sdata(\inst|inst4|inst115|auto_generated|safe_q [2]), .aclr(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|acq_trigger_in_reg [41])); + .regout(\auto_signaltap_0|acq_trigger_in_reg [35])); -// Location: LCFF_X22_Y21_N29 +// Location: LCFF_X26_Y16_N11 cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[42] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -13350,46 +12607,7 @@ cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[42] ( .devpor(devpor), .regout(\auto_signaltap_0|acq_trigger_in_reg [42])); -// Location: LCFF_X22_Y19_N1 -cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[43] ( - .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout ), - .aclr(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|acq_trigger_in_reg [43])); - -// Location: LCFF_X22_Y19_N27 -cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[44] ( - .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), - .aclr(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|acq_trigger_in_reg [44])); - -// Location: LCFF_X20_Y19_N29 -cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[45] ( - .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), - .aclr(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|acq_trigger_in_reg [45])); - -// Location: LCFF_X18_Y16_N5 +// Location: LCFF_X19_Y17_N5 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~1_combout ), @@ -13402,10 +12620,10 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigg .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~regout )); -// Location: LCFF_X20_Y18_N9 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~1_combout ), +// Location: LCFF_X18_Y15_N11 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[1] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~1_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -13413,22 +12631,36 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_b .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~regout )); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [1])); -// Location: LCFF_X20_Y16_N19 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:collecting_post_data_var ( +// Location: LCFF_X21_Y15_N17 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|collecting_post_data_var~0_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~1_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:collecting_post_data_var~regout )); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~regout )); -// Location: LCFF_X18_Y16_N29 +// Location: LCCOMB_X18_Y15_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [1]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~0_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~0 .lut_mask = 16'hBF80; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X19_Y17_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[1] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~1_combout ), @@ -13441,49 +12673,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|statu .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [1])); -// Location: LCCOMB_X15_Y15_N10 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][6]~regout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][1]~regout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0 .lut_mask = 16'h8880; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X18_Y16_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [1]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:collecting_post_data_var~regout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~0 .lut_mask = 16'hE444; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X15_Y15_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~0 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena[1]~reg0_regout ), - .datab(\altera_internal_jtag~TDIUTAP ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~regout ), - .datad(vcc), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~0 .lut_mask = 16'hD8D8; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X14_Y15_N11 +// Location: LCFF_X16_Y16_N27 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[1] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~15_combout ), @@ -13496,21 +12686,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_r .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR [1])); -// Location: LCCOMB_X14_Y15_N28 +// Location: LCCOMB_X16_Y16_N28 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~10 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR [1]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR [1]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~10_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~10 .lut_mask = 16'h2A00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~10 .lut_mask = 16'h7000; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~10 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X14_Y15_N31 +// Location: LCFF_X16_Y16_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[0] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~10_combout ), @@ -13523,7 +12713,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_r .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0])); -// Location: LCFF_X14_Y15_N17 +// Location: LCFF_X16_Y16_N9 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[1] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~9_combout ), @@ -13536,7 +12726,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_r .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1])); -// Location: LCFF_X14_Y15_N27 +// Location: LCFF_X16_Y16_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~12_combout ), @@ -13549,21 +12739,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_r .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [2])); -// Location: LCCOMB_X14_Y15_N12 +// Location: LCCOMB_X16_Y16_N12 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~11 ( .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [2]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [2]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~11_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~11 .lut_mask = 16'hCFFC; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~11 .lut_mask = 16'hFF3C; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~11 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X14_Y15_N23 +// Location: LCFF_X16_Y16_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[3] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~13_combout ), @@ -13576,7 +12766,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_r .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [3])); -// Location: LCCOMB_X18_Y15_N8 +// Location: LCCOMB_X18_Y14_N0 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~12 ( .dataa(vcc), .datab(vcc), @@ -13590,48 +12780,35 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~12 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y15_N14 +// Location: LCCOMB_X18_Y17_N16 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|clear_signal ( - .dataa(vcc), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), - .datad(vcc), + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), + .datab(vcc), + .datac(vcc), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|clear_signal~combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|clear_signal .lut_mask = 16'hC0C0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|clear_signal .lut_mask = 16'hAA00; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|clear_signal .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y15_N0 +// Location: LCCOMB_X16_Y16_N0 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~13 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~11_combout ), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~10_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|clear_signal~combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~12_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~12_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|clear_signal~combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~13_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~13 .lut_mask = 16'hCECC; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~13 .lut_mask = 16'hCCEC; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~13 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X21_Y19_N1 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~16_combout ), - .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~regout )); - -// Location: LCCOMB_X22_Y16_N4 +// Location: LCCOMB_X22_Y17_N24 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~0 ( .dataa(vcc), .datab(vcc), @@ -13645,7 +12822,7 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y16_N23 +// Location: LCFF_X19_Y17_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_mode_ff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_mode_ff~0_combout ), @@ -13658,20 +12835,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigg .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_mode_ff~regout )); -// Location: LCFF_X20_Y16_N17 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~0_combout ), - .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done~regout )); - -// Location: LCFF_X20_Y16_N13 +// Location: LCFF_X20_Y17_N15 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~0_combout ), @@ -13684,26 +12848,26 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffe .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~regout )); -// Location: LCCOMB_X20_Y16_N24 +// Location: LCCOMB_X20_Y17_N30 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~0 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done~regout ), - .datad(vcc), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~regout ), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done~regout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~0 .lut_mask = 16'h3030; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~0 .lut_mask = 16'h5500; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y16_N10 +// Location: LCCOMB_X20_Y17_N22 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~0_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:is_buffer_wrapped~regout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:is_buffer_wrapped~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:collecting_post_data_var~regout ), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:collecting_post_data_var~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~0_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~1_combout ), .cout()); @@ -13712,21 +12876,21 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2] defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X18_Y16_N4 +// Location: LCCOMB_X19_Y17_N4 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~1_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_mode_ff~regout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_mode_ff~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~1_combout ), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~regout ), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~0_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~1 .lut_mask = 16'hE466; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~1 .lut_mask = 16'hE266; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X21_Y15_N21 +// Location: LCFF_X18_Y15_N29 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[2] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~2_combout ), @@ -13739,40 +12903,93 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [2])); -// Location: LCCOMB_X19_Y14_N26 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [3]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [3]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [2]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [2]), +// Location: LCFF_X18_Y15_N23 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~feeder_combout ), + .sdata(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [0])); + +// Location: LCCOMB_X18_Y15_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~1 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [0]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [2]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~1_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~1 .lut_mask = 16'h0660; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~1 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~1 .lut_mask = 16'hCAAA; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y15_N16 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done~regout ), +// Location: LCFF_X18_Y16_N17 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[0] ( + .clk(\clk~clkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [0]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [0])); + +// Location: LCCOMB_X18_Y16_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [1]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [1]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [0]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [0]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~0_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~0 .lut_mask = 16'h0660; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X20_Y16_N7 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[3] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~10_combout ), + .sdata(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [3])); + +// Location: LCCOMB_X20_Y15_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done~regout ), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~0 .lut_mask = 16'h0302; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~0 .lut_mask = 16'h0504; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y18_N8 +// Location: LCCOMB_X21_Y15_N16 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~1 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:base_address[0]~regout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~0_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~0_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~1_combout ), .cout()); @@ -13781,34 +12998,20 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_mana defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y17_N27 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0] ( +// Location: LCFF_X18_Y13_N9 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0]~feeder_combout ), .sdata(gnd), .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0]~regout )); - -// Location: LCCOMB_X20_Y16_N18 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|collecting_post_data_var~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|collecting_post_data_var~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|collecting_post_data_var~0 .lut_mask = 16'h0007; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|collecting_post_data_var~0 .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0]~regout )); -// Location: LCFF_X18_Y16_N1 +// Location: LCFF_X19_Y17_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[2] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~2_combout ), @@ -13821,7 +13024,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|statu .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [2])); -// Location: LCCOMB_X18_Y16_N28 +// Location: LCCOMB_X19_Y17_N12 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~1 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0_combout ), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [2]), @@ -13835,7 +13038,7 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X14_Y15_N25 +// Location: LCFF_X16_Y16_N25 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~17_combout ), @@ -13848,35 +13051,35 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_r .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR [2])); -// Location: LCCOMB_X14_Y15_N18 +// Location: LCCOMB_X16_Y16_N10 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~14 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~12_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [2]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~12_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [2]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~14_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~14 .lut_mask = 16'h8202; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~14 .lut_mask = 16'h8030; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~14 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y15_N10 +// Location: LCCOMB_X16_Y16_N26 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~15 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR [2]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~14_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|clear_signal~combout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~14_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR [2]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|clear_signal~combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~15_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~15 .lut_mask = 16'h0E0C; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~15 .lut_mask = 16'h00EA; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~15 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y15_N4 +// Location: LCCOMB_X16_Y16_N4 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[3]~8 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [2]), @@ -13890,35 +13093,35 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[3]~8 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y15_N16 +// Location: LCCOMB_X16_Y16_N8 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~9 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[3]~8_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|clear_signal~combout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[3]~8_combout ), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|clear_signal~combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~9_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~9 .lut_mask = 16'h0220; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~9 .lut_mask = 16'h0048; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~9 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y15_N14 +// Location: LCCOMB_X16_Y16_N14 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|Add0~0 ( .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [2]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [2]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|Add0~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|Add0~0 .lut_mask = 16'h3FC0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|Add0~0 .lut_mask = 16'h3CCC; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|Add0~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y15_N8 +// Location: LCCOMB_X16_Y16_N16 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|Add0~1 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [2]), @@ -13932,7 +13135,7 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|Add0 defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|Add0~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y16_N9 +// Location: LCFF_X20_Y17_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run~0_combout ), @@ -13945,74 +13148,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run~regout )); -// Location: LCCOMB_X21_Y19_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run~regout ), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~regout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~0 .lut_mask = 16'h5500; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X20_Y19_N23 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|regoutff ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~1_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|regoutff~regout )); - -// Location: LCFF_X22_Y19_N21 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|regoutff ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|p_match_out~1_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|regoutff~regout )); - -// Location: LCFF_X22_Y19_N31 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|regoutff ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|p_match_out~1_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|regoutff~regout )); - -// Location: LCCOMB_X22_Y19_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|regoutff~regout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|regoutff~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|regoutff~regout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~1 .lut_mask = 16'h8000; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~1 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X22_Y21_N31 +// Location: LCFF_X26_Y16_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|p_match_out~1_combout ), @@ -14025,99 +13161,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|regoutff~regout )); -// Location: LCFF_X22_Y21_N17 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|regoutff ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|p_match_out~1_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|regoutff~regout )); - -// Location: LCFF_X21_Y20_N9 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|regoutff ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~1_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|regoutff~regout )); - -// Location: LCFF_X22_Y20_N1 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|regoutff ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~1_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|regoutff~regout )); - -// Location: LCCOMB_X22_Y21_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~2 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|regoutff~regout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|regoutff~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|regoutff~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|regoutff~regout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~2_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~2 .lut_mask = 16'h8000; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~2 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X22_Y20_N19 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|regoutff ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~1_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|regoutff~regout )); - -// Location: LCFF_X22_Y20_N3 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|regoutff ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~1_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|regoutff~regout )); - -// Location: LCFF_X23_Y20_N13 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|regoutff ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~1_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|regoutff~regout )); - -// Location: LCFF_X23_Y20_N5 +// Location: LCFF_X33_Y17_N11 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|p_match_out~1_combout ), @@ -14130,21 +13174,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|regoutff~regout )); -// Location: LCCOMB_X23_Y20_N18 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~3 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|regoutff~regout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|regoutff~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|regoutff~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|regoutff~regout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~3_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~3 .lut_mask = 16'h8000; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~3 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X23_Y20_N17 +// Location: LCFF_X33_Y17_N17 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|p_match_out~1_combout ), @@ -14157,7 +13187,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|regoutff~regout )); -// Location: LCFF_X20_Y20_N23 +// Location: LCFF_X29_Y17_N29 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|p_match_out~1_combout ), @@ -14170,7 +13200,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|regoutff~regout )); -// Location: LCFF_X20_Y20_N17 +// Location: LCFF_X29_Y17_N27 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|p_match_out~1_combout ), @@ -14183,7 +13213,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|regoutff~regout )); -// Location: LCFF_X20_Y20_N7 +// Location: LCFF_X29_Y17_N25 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|p_match_out~1_combout ), @@ -14196,12 +13226,12 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|regoutff~regout )); -// Location: LCCOMB_X20_Y20_N8 +// Location: LCCOMB_X29_Y17_N10 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~4 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|regoutff~regout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|regoutff~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|regoutff~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|regoutff~regout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|regoutff~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|regoutff~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|regoutff~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|regoutff~regout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~4_combout ), .cout()); @@ -14210,21 +13240,7 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|bui defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y19_N28 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~5 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~4_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~1_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~2_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~3_combout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~5_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~5 .lut_mask = 16'h8000; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~5 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X21_Y20_N27 +// Location: LCFF_X27_Y17_N11 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|p_match_out~1_combout ), @@ -14237,73 +13253,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|regoutff~regout )); -// Location: LCFF_X22_Y17_N7 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|regoutff ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~1_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|regoutff~regout )); - -// Location: LCFF_X22_Y17_N13 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|regoutff ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|p_match_out~1_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|regoutff~regout )); - -// Location: LCFF_X22_Y17_N23 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|regoutff ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|p_match_out~1_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|regoutff~regout )); - -// Location: LCCOMB_X22_Y17_N10 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~6 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|regoutff~regout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|regoutff~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|regoutff~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|regoutff~regout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~6_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~6 .lut_mask = 16'h8000; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~6 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X14_Y18_N7 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|regoutff ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~1_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|regoutff~regout )); - -// Location: LCFF_X14_Y18_N13 +// Location: LCFF_X29_Y16_N29 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|p_match_out~1_combout ), @@ -14316,86 +13266,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|regoutff~regout )); -// Location: LCFF_X14_Y18_N29 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|regoutff ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~1_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|regoutff~regout )); - -// Location: LCFF_X13_Y18_N31 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|regoutff ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~1_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|regoutff~regout )); - -// Location: LCCOMB_X14_Y18_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~7 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|regoutff~regout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|regoutff~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|regoutff~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|regoutff~regout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~7_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~7 .lut_mask = 16'h8000; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~7 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X13_Y18_N23 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|regoutff ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~1_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|regoutff~regout )); - -// Location: LCFF_X13_Y18_N9 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|regoutff ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~1_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|regoutff~regout )); - -// Location: LCFF_X12_Y18_N11 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|regoutff ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~1_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|regoutff~regout )); - -// Location: LCFF_X12_Y18_N31 +// Location: LCFF_X25_Y17_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|p_match_out~1_combout ), @@ -14408,21 +13279,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|regoutff~regout )); -// Location: LCCOMB_X12_Y18_N22 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~8 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|regoutff~regout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|regoutff~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|regoutff~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|regoutff~regout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~8_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~8 .lut_mask = 16'h8000; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~8 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X12_Y18_N1 +// Location: LCFF_X23_Y18_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:18:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:18:sm1|p_match_out~1_combout ), @@ -14435,7 +13292,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:18:sm1|regoutff~regout )); -// Location: LCFF_X12_Y19_N31 +// Location: LCFF_X24_Y18_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|p_match_out~1_combout ), @@ -14448,7 +13305,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|regoutff~regout )); -// Location: LCFF_X12_Y19_N29 +// Location: LCFF_X24_Y18_N25 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:16:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:16:sm1|p_match_out~1_combout ), @@ -14461,7 +13318,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:16:sm1|regoutff~regout )); -// Location: LCFF_X12_Y19_N7 +// Location: LCFF_X24_Y18_N11 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|p_match_out~1_combout ), @@ -14474,7 +13331,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|regoutff~regout )); -// Location: LCCOMB_X12_Y19_N8 +// Location: LCCOMB_X24_Y18_N16 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~9 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|regoutff~regout ), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:18:sm1|regoutff~regout ), @@ -14488,21 +13345,7 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|bui defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~9 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y19_N6 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~10 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~7_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~9_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~8_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~6_combout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~10_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~10 .lut_mask = 16'h8000; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~10 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X12_Y21_N19 +// Location: LCFF_X25_Y18_N15 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|p_match_out~1_combout ), @@ -14515,7 +13358,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|regoutff~regout )); -// Location: LCFF_X12_Y21_N15 +// Location: LCFF_X26_Y18_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|p_match_out~1_combout ), @@ -14528,7 +13371,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|regoutff~regout )); -// Location: LCFF_X13_Y21_N29 +// Location: LCFF_X26_Y18_N15 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|p_match_out~1_combout ), @@ -14541,7 +13384,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|regoutff~regout )); -// Location: LCFF_X13_Y21_N15 +// Location: LCFF_X31_Y18_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|p_match_out~1_combout ), @@ -14554,12 +13397,12 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|regoutff~regout )); -// Location: LCCOMB_X13_Y21_N0 +// Location: LCCOMB_X26_Y18_N0 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~11 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|regoutff~regout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|regoutff~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|regoutff~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|regoutff~regout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|regoutff~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|regoutff~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|regoutff~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|regoutff~regout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~11_combout ), .cout()); @@ -14568,7 +13411,7 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|bui defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~11 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y21_N11 +// Location: LCFF_X31_Y18_N9 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|p_match_out~1_combout ), @@ -14581,7 +13424,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|regoutff~regout )); -// Location: LCFF_X19_Y21_N19 +// Location: LCFF_X31_Y18_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|p_match_out~1_combout ), @@ -14594,7 +13437,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|regoutff~regout )); -// Location: LCFF_X20_Y21_N15 +// Location: LCFF_X32_Y18_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|p_match_out~1_combout ), @@ -14607,7 +13450,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|regoutff~regout )); -// Location: LCFF_X20_Y21_N3 +// Location: LCFF_X32_Y18_N9 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|p_match_out~1_combout ), @@ -14620,12 +13463,12 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|regoutff~regout )); -// Location: LCCOMB_X20_Y21_N22 +// Location: LCCOMB_X32_Y18_N2 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~12 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|regoutff~regout ), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|regoutff~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|regoutff~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|regoutff~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|regoutff~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|regoutff~regout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~12_combout ), .cout()); @@ -14634,7 +13477,7 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|bui defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~12 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y21_N5 +// Location: LCFF_X32_Y18_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|p_match_out~1_combout ), @@ -14647,7 +13490,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|regoutff~regout )); -// Location: LCFF_X21_Y18_N13 +// Location: LCFF_X31_Y17_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|p_match_out~1_combout ), @@ -14660,7 +13503,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|regoutff~regout )); -// Location: LCFF_X21_Y18_N19 +// Location: LCFF_X31_Y17_N3 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|p_match_out~1_combout ), @@ -14673,7 +13516,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|regoutff~regout )); -// Location: LCFF_X21_Y18_N17 +// Location: LCFF_X31_Y17_N29 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|p_match_out~1_combout ), @@ -14686,12 +13529,12 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|regoutff~regout )); -// Location: LCCOMB_X21_Y18_N0 +// Location: LCCOMB_X31_Y17_N8 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~13 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|regoutff~regout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|regoutff~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|regoutff~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|regoutff~regout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|regoutff~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|regoutff~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|regoutff~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|regoutff~regout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~13_combout ), .cout()); @@ -14700,7 +13543,7 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|bui defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~13 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X23_Y19_N1 +// Location: LCFF_X23_Y17_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|p_match_out~1_combout ), @@ -14713,7 +13556,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|regoutff~regout )); -// Location: LCFF_X23_Y19_N13 +// Location: LCFF_X23_Y17_N3 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|p_match_out~1_combout ), @@ -14726,7 +13569,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|regoutff~regout )); -// Location: LCFF_X23_Y19_N3 +// Location: LCFF_X23_Y17_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|regoutff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|p_match_out~1_combout ), @@ -14739,12 +13582,12 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|regoutff~regout )); -// Location: LCCOMB_X23_Y19_N6 +// Location: LCCOMB_X23_Y17_N0 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~14 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|regoutff~regout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|regoutff~regout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|regoutff~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|regoutff~regout ), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|regoutff~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|regoutff~regout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~14_combout ), .cout()); @@ -14753,12 +13596,12 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|bui defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~14 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y19_N24 +// Location: LCCOMB_X26_Y18_N18 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~15 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~14_combout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~13_combout ), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~11_combout ), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~12_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~13_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~14_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~15_combout ), .cout()); @@ -14767,21 +13610,7 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|bui defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~15 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y19_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~16 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~10_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~5_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~15_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~0_combout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~16_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~16 .lut_mask = 16'hFF80; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~16 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X18_Y16_N22 +// Location: LCCOMB_X19_Y17_N6 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_mode_ff~0 ( .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][1]~regout ), .datab(vcc), @@ -14795,21 +13624,7 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_mod defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_mode_ff~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y16_N16 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), - .datab(vcc), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~0 .lut_mask = 16'hFAF0; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X20_Y15_N3 +// Location: LCFF_X20_Y15_N9 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[1] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -14822,74 +13637,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_b .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[1]~regout )); -// Location: LCCOMB_X20_Y15_N28 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~6_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~2_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~4_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~0_combout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~0 .lut_mask = 16'h8000; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X20_Y15_N9 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[4] ( - .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4_combout ), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[4]~regout )); - -// Location: LCFF_X20_Y15_N31 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[5] ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~5_combout ), - .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[5]~regout )); - -// Location: LCFF_X20_Y15_N13 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[6] ( +// Location: LCFF_X20_Y15_N11 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[2] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~6_combout ), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~2_combout ), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[6]~regout )); - -// Location: LCCOMB_X20_Y15_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~12_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~0_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~8_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~10_combout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~1 .lut_mask = 16'h8000; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~1 .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[2]~regout )); -// Location: LCFF_X21_Y15_N17 +// Location: LCFF_X18_Y15_N17 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[3] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~3_combout ), @@ -14902,7 +13663,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [3])); -// Location: LCFF_X21_Y15_N19 +// Location: LCFF_X18_Y15_N27 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[1] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[1]~feeder_combout ), @@ -14915,34 +13676,62 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_b .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [1])); -// Location: LCCOMB_X21_Y15_N20 +// Location: LCCOMB_X18_Y15_N28 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~2 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [3]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [1]), .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [1]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~2_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~2 .lut_mask = 16'hBF80; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~2 .lut_mask = 16'hACCC; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y17_N7 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][1] ( - .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [0]), - .aclr(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][1]~regout )); +// Location: LCCOMB_X20_Y17_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0 ( + .dataa(vcc), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [3]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0 .lut_mask = 16'h00C0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X20_Y16_N6 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~10 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~8_combout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~10_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~10 .lut_mask = 16'h8900; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~10 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X18_Y13_N21 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][1] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][1]~feeder_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][1]~regout )); -// Location: LCFF_X16_Y15_N1 +// Location: LCFF_X18_Y18_N17 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[3] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~3_combout ), @@ -14955,35 +13744,35 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|statu .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [3])); -// Location: LCCOMB_X18_Y16_N0 +// Location: LCCOMB_X19_Y17_N0 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~2 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0_combout ), - .datab(vcc), + .dataa(vcc), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0_combout ), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [3]), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~1_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~2_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~2 .lut_mask = 16'hFA50; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~2 .lut_mask = 16'hFC30; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y15_N2 +// Location: LCCOMB_X16_Y16_N2 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~16 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~12_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [2]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~12_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [2]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~16_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~16 .lut_mask = 16'h222A; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~16 .lut_mask = 16'h10F0; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~16 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X14_Y15_N21 +// Location: LCFF_X16_Y16_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[3] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~19_combout ), @@ -14996,21 +13785,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_r .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR [3])); -// Location: LCCOMB_X14_Y15_N24 +// Location: LCCOMB_X16_Y16_N24 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~17 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR [3]), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~16_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|clear_signal~combout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|clear_signal~combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~17_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~17 .lut_mask = 16'h0E0C; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~17 .lut_mask = 16'h00EC; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~17 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y16_N8 +// Location: LCCOMB_X20_Y17_N12 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run~0 ( .dataa(vcc), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [3]), @@ -15024,127 +13813,10 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y19_N31 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff~feeder_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff~regout )); - -// Location: LCFF_X22_Y19_N17 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|holdff ( - .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|acq_trigger_in_reg [44]), - .aclr(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|holdff~regout )); - -// Location: LCFF_X22_Y19_N9 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff~feeder_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff~regout )); - -// Location: LCFF_X22_Y21_N11 +// Location: LCFF_X26_Y16_N17 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|holdff ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|acq_trigger_in_reg [42]), - .aclr(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|holdff~regout )); - -// Location: LCFF_X22_Y21_N19 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff~feeder_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff~regout )); - -// Location: LCFF_X21_Y20_N1 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|holdff ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|holdff~feeder_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|holdff~regout )); - -// Location: LCFF_X22_Y20_N25 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff~feeder_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff~regout )); - -// Location: LCFF_X22_Y20_N7 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|holdff ( - .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|acq_trigger_in_reg [38]), - .aclr(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|holdff~regout )); - -// Location: LCFF_X22_Y20_N21 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|holdff ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|holdff~feeder_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|holdff~regout )); - -// Location: LCFF_X23_Y20_N1 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|holdff~feeder_combout ), .sdata(gnd), .aclr(gnd), .sclr(gnd), @@ -15152,9 +13824,9 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff~regout )); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|holdff~regout )); -// Location: LCFF_X23_Y20_N7 +// Location: LCFF_X33_Y17_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|holdff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|holdff~feeder_combout ), @@ -15167,7 +13839,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|holdff~regout )); -// Location: LCFF_X24_Y20_N5 +// Location: LCFF_X33_Y17_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|holdff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|holdff~feeder_combout ), @@ -15180,7 +13852,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|holdff~regout )); -// Location: LCFF_X20_Y20_N21 +// Location: LCFF_X29_Y17_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|holdff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|holdff~feeder_combout ), @@ -15193,7 +13865,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|holdff~regout )); -// Location: LCFF_X20_Y20_N31 +// Location: LCFF_X29_Y17_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|holdff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|holdff~feeder_combout ), @@ -15206,7 +13878,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|holdff~regout )); -// Location: LCFF_X20_Y19_N1 +// Location: LCFF_X29_Y18_N17 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|holdff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|holdff~feeder_combout ), @@ -15219,49 +13891,23 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|holdff~regout )); -// Location: LCFF_X21_Y20_N5 +// Location: LCFF_X27_Y17_N5 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|holdff ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|holdff~feeder_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|holdff~regout )); - -// Location: LCFF_X22_Y17_N25 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff~feeder_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff~regout )); - -// Location: LCFF_X22_Y17_N21 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|holdff ( .clk(\clk~clkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|acq_trigger_in_reg [28]), + .sdata(\auto_signaltap_0|acq_trigger_in_reg [30]), .aclr(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|holdff~regout )); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|holdff~regout )); -// Location: LCFF_X22_Y17_N15 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff ( +// Location: LCFF_X29_Y16_N31 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|holdff ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|holdff~feeder_combout ), .sdata(gnd), .aclr(gnd), .sclr(gnd), @@ -15269,22 +13915,9 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff~regout )); - -// Location: LCFF_X14_Y18_N19 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|holdff ( - .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|acq_trigger_in_reg [26]), - .aclr(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|holdff~regout )); -// Location: LCFF_X14_Y18_N5 +// Location: LCFF_X29_Y16_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|holdff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|holdff~feeder_combout ), @@ -15297,33 +13930,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|holdff~regout )); -// Location: LCFF_X14_Y18_N11 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|holdff ( - .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|acq_trigger_in_reg [24]), - .aclr(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|holdff~regout )); - -// Location: LCFF_X13_Y18_N13 +// Location: LCFF_X25_Y16_N9 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|holdff ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|acq_trigger_in_reg [23]), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|holdff~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|holdff~regout )); -// Location: LCFF_X13_Y18_N3 +// Location: LCFF_X24_Y16_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|holdff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|holdff~feeder_combout ), @@ -15336,7 +13956,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|holdff~regout )); -// Location: LCFF_X13_Y18_N15 +// Location: LCFF_X25_Y17_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|holdff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|holdff~feeder_combout ), @@ -15349,20 +13969,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|holdff~regout )); -// Location: LCFF_X12_Y18_N3 +// Location: LCFF_X25_Y17_N11 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|holdff ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|holdff~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|acq_trigger_in_reg [20]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|holdff~regout )); -// Location: LCFF_X12_Y18_N21 +// Location: LCFF_X25_Y17_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|holdff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|holdff~feeder_combout ), @@ -15375,7 +13995,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|holdff~regout )); -// Location: LCFF_X12_Y18_N29 +// Location: LCFF_X23_Y18_N27 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:18:sm1|holdff ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -15388,7 +14008,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:18:sm1|holdff~regout )); -// Location: LCFF_X12_Y19_N1 +// Location: LCFF_X24_Y18_N31 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|holdff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|holdff~feeder_combout ), @@ -15401,20 +14021,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|holdff~regout )); -// Location: LCFF_X12_Y19_N3 +// Location: LCFF_X24_Y18_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:16:sm1|holdff ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|acq_trigger_in_reg [16]), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:16:sm1|holdff~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:16:sm1|holdff~regout )); -// Location: LCFF_X11_Y19_N13 +// Location: LCFF_X24_Y18_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|holdff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|holdff~feeder_combout ), @@ -15427,20 +14047,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|holdff~regout )); -// Location: LCFF_X12_Y21_N13 +// Location: LCFF_X25_Y18_N27 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|holdff ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|acq_trigger_in_reg [14]), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|holdff~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|holdff~regout )); -// Location: LCFF_X12_Y21_N31 +// Location: LCFF_X26_Y18_N11 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|holdff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|holdff~feeder_combout ), @@ -15453,33 +14073,33 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|holdff~regout )); -// Location: LCFF_X13_Y21_N3 +// Location: LCFF_X26_Y18_N3 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|holdff ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|holdff~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|acq_trigger_in_reg [12]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|holdff~regout )); -// Location: LCFF_X13_Y21_N19 +// Location: LCFF_X31_Y18_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|holdff ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|acq_trigger_in_reg [11]), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|holdff~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|holdff~regout )); -// Location: LCFF_X19_Y21_N13 +// Location: LCFF_X31_Y18_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|holdff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|holdff~feeder_combout ), @@ -15492,7 +14112,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|holdff~regout )); -// Location: LCFF_X19_Y21_N9 +// Location: LCFF_X31_Y18_N3 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|holdff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|holdff~feeder_combout ), @@ -15505,7 +14125,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|holdff~regout )); -// Location: LCFF_X20_Y21_N1 +// Location: LCFF_X32_Y18_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|holdff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|holdff~feeder_combout ), @@ -15518,20 +14138,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|holdff~regout )); -// Location: LCFF_X20_Y21_N27 +// Location: LCFF_X32_Y18_N29 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|holdff ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|acq_trigger_in_reg [7]), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|holdff~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|holdff~regout )); -// Location: LCFF_X20_Y21_N7 +// Location: LCFF_X33_Y18_N31 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|holdff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|holdff~feeder_combout ), @@ -15544,20 +14164,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|holdff~regout )); -// Location: LCFF_X21_Y18_N25 +// Location: LCFF_X31_Y17_N11 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|holdff ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|holdff~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|acq_trigger_in_reg [5]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|holdff~regout )); -// Location: LCFF_X21_Y18_N31 +// Location: LCFF_X31_Y17_N17 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|holdff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|holdff~feeder_combout ), @@ -15570,7 +14190,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|holdff~regout )); -// Location: LCFF_X21_Y18_N3 +// Location: LCFF_X31_Y17_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|holdff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|holdff~feeder_combout ), @@ -15583,20 +14203,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|holdff~regout )); -// Location: LCFF_X23_Y19_N29 +// Location: LCFF_X23_Y17_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|holdff ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|holdff~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|acq_trigger_in_reg [2]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|holdff~regout )); -// Location: LCFF_X23_Y19_N5 +// Location: LCFF_X23_Y17_N27 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|holdff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|holdff~feeder_combout ), @@ -15609,7 +14229,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|holdff~regout )); -// Location: LCFF_X23_Y19_N15 +// Location: LCFF_X23_Y17_N17 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ), @@ -15622,49 +14242,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~regout )); -// Location: LCCOMB_X20_Y15_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~8_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0_combout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4 .lut_mask = 16'h4050; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X20_Y15_N30 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~5 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~10_combout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~5_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~5 .lut_mask = 16'h5100; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~5 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X20_Y15_N14 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~6 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~12_combout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~6_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~6 .lut_mask = 16'h5100; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~6 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X21_Y15_N9 +// Location: LCFF_X18_Y15_N31 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[4] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~4_combout ), @@ -15677,7 +14255,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [4])); -// Location: LCFF_X21_Y15_N13 +// Location: LCFF_X18_Y15_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[2] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~2_combout ), @@ -15690,26 +14268,26 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_b .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [2])); -// Location: LCCOMB_X21_Y15_N16 +// Location: LCCOMB_X18_Y15_N16 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~3 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [4]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [2]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [2]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [4]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~3_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~3 .lut_mask = 16'hF780; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~3 .lut_mask = 16'hCAAA; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y15_N16 +// Location: LCCOMB_X18_Y17_N26 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~6 ( .dataa(vcc), .datab(vcc), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~regout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][1]~regout ), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][1]~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~regout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~6_combout ), .cout()); @@ -15718,7 +14296,20 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_de defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~6 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X16_Y15_N19 +// Location: LCFF_X18_Y13_N11 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~feeder_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~regout )); + +// Location: LCFF_X18_Y18_N3 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[4] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~4_combout ), @@ -15731,63 +14322,63 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|statu .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [4])); -// Location: LCCOMB_X15_Y15_N18 +// Location: LCCOMB_X18_Y17_N12 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1 ( .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena[1]~reg0_regout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][1]~regout ), .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][6]~regout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][1]~regout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1 .lut_mask = 16'h2220; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1 .lut_mask = 16'h00A8; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X16_Y15_N0 +// Location: LCCOMB_X18_Y18_N16 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~3 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), + .datab(vcc), .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [4]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~3_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~3 .lut_mask = 16'h3F00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~3 .lut_mask = 16'h5F00; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y15_N6 +// Location: LCCOMB_X16_Y16_N30 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~18 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~12_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [2]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~12_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [2]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~18_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~18 .lut_mask = 16'h8280; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~18 .lut_mask = 16'hC020; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~18 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y15_N20 +// Location: LCCOMB_X16_Y16_N20 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~19 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~18_combout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|clear_signal~combout ), .datab(\altera_internal_jtag~TDIUTAP ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|clear_signal~combout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~18_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~19_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~19 .lut_mask = 16'h0E0A; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~19 .lut_mask = 16'h5540; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~19 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X21_Y15_N11 +// Location: LCFF_X18_Y15_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[5] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~5_combout ), @@ -15800,7 +14391,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [5])); -// Location: LCFF_X21_Y15_N15 +// Location: LCFF_X19_Y15_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[3] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~3_combout ), @@ -15813,34 +14404,34 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_b .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [3])); -// Location: LCCOMB_X21_Y15_N8 +// Location: LCCOMB_X18_Y15_N30 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~4 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [3]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [5]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [3]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [5]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~4_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~4 .lut_mask = 16'hF870; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~4 .lut_mask = 16'hCAAA; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y17_N13 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[5] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~5_combout ), +// Location: LCFF_X18_Y13_N15 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~feeder_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [5])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~regout )); -// Location: LCFF_X16_Y15_N13 +// Location: LCFF_X18_Y18_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[5] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~5_combout ), @@ -15853,21 +14444,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|statu .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [5])); -// Location: LCCOMB_X16_Y15_N18 +// Location: LCCOMB_X18_Y18_N2 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~4 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [5]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|current_segment_delayed [0]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [5]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~4_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~4 .lut_mask = 16'hE2AA; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~4 .lut_mask = 16'hF780; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X21_Y15_N5 +// Location: LCFF_X18_Y15_N3 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[6] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~6_combout ), @@ -15880,74 +14471,34 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [6])); -// Location: LCFF_X21_Y15_N23 +// Location: LCFF_X18_Y15_N5 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[4]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4_combout ), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [4])); -// Location: LCCOMB_X21_Y15_N10 +// Location: LCCOMB_X18_Y15_N0 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~5 ( .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [6]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [4]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [6]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [4]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~5_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~5 .lut_mask = 16'hF780; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~5 .lut_mask = 16'hD8F0; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y17_N31 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[5] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[5]~5_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~regout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [5])); - -// Location: LCFF_X18_Y17_N25 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[6] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~6_combout ), - .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [6])); - -// Location: LCCOMB_X18_Y17_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~5 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [6]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [5]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~5_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~5 .lut_mask = 16'hCCAC; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~5 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X16_Y15_N23 +// Location: LCFF_X18_Y18_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[6] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~6_combout ), @@ -15960,21 +14511,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|statu .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [6])); -// Location: LCCOMB_X16_Y15_N12 +// Location: LCCOMB_X18_Y18_N12 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~5 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), + .datab(vcc), .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [6]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~5_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~5 .lut_mask = 16'h3F00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~5 .lut_mask = 16'h5F00; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X21_Y15_N25 +// Location: LCFF_X18_Y15_N15 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[7] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~7_combout ), @@ -15987,7 +14538,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [7])); -// Location: LCFF_X21_Y15_N3 +// Location: LCFF_X18_Y15_N25 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[5] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -16000,74 +14551,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_b .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [5])); -// Location: LCCOMB_X21_Y15_N4 +// Location: LCCOMB_X18_Y15_N2 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~6 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [7]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [5]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [7]), .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [5]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~6_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~6 .lut_mask = 16'hBF80; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~6 .lut_mask = 16'hCAAA; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~6 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y17_N19 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5] ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5]~feeder_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5]~regout )); - -// Location: LCFF_X19_Y17_N21 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5] ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5]~feeder_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5]~regout )); - -// Location: LCCOMB_X18_Y17_N30 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[5]~5 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5]~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5]~regout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[5]~5_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[5]~5 .lut_mask = 16'hFC30; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[5]~5 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X18_Y17_N11 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[6] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[6]~6_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~regout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [6])); - -// Location: LCFF_X18_Y17_N21 +// Location: LCFF_X19_Y13_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[7] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~7_combout ), @@ -16080,21 +14578,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [7])); -// Location: LCCOMB_X18_Y17_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~6 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [6]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [7]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~6_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~6 .lut_mask = 16'hAAE2; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~6 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X16_Y15_N25 +// Location: LCFF_X18_Y18_N25 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[7] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~7_combout ), @@ -16107,21 +14591,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|statu .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [7])); -// Location: LCCOMB_X16_Y15_N22 +// Location: LCCOMB_X18_Y18_N22 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~6 ( - .dataa(vcc), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [7]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), + .datad(vcc), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~6_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~6 .lut_mask = 16'h30F0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~6 .lut_mask = 16'h7070; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~6 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X21_Y15_N29 +// Location: LCFF_X18_Y15_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[8] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~8_combout ), @@ -16134,7 +14618,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [8])); -// Location: LCFF_X21_Y15_N31 +// Location: LCFF_X18_Y15_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[6] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[6]~feeder_combout ), @@ -16147,87 +14631,47 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_b .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [6])); -// Location: LCCOMB_X21_Y15_N24 +// Location: LCCOMB_X18_Y15_N14 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~7 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [8]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [6]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [6]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [8]), .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~7_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~7 .lut_mask = 16'hACCC; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~7 .lut_mask = 16'hCAAA; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~7 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y15_N29 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[4] ( - .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[4]~regout ), - .aclr(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [4])); - -// Location: LCFF_X19_Y17_N15 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][6] ( +// Location: LCFF_X18_Y13_N3 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][6] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [5]), .aclr(gnd), .sclr(gnd), .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][6]~regout )); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][6]~regout )); -// Location: LCFF_X19_Y17_N17 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][6] ( - .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [5]), +// Location: LCFF_X19_Y13_N7 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[7] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[7]~7_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~regout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][6]~regout )); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [7])); -// Location: LCCOMB_X18_Y17_N10 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[6]~6 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][6]~regout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][6]~regout ), - .datad(vcc), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[6]~6_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[6]~6 .lut_mask = 16'hE2E2; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[6]~6 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X18_Y17_N23 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[7] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[7]~7_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~regout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [7])); - -// Location: LCFF_X18_Y18_N7 +// Location: LCFF_X20_Y14_N25 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[8] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~8_combout ), @@ -16240,21 +14684,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [8])); -// Location: LCCOMB_X18_Y17_N20 +// Location: LCCOMB_X19_Y13_N12 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~7 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [7]), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [8]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [7]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~7_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~7 .lut_mask = 16'hF0D8; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~7 .lut_mask = 16'hAACA; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~7 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X16_Y15_N3 +// Location: LCFF_X18_Y18_N27 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[8] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~8_combout ), @@ -16267,21 +14711,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|statu .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [8])); -// Location: LCCOMB_X16_Y15_N24 +// Location: LCCOMB_X18_Y18_N24 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~7 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), + .datab(vcc), .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [8]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~7_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~7 .lut_mask = 16'h3F00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~7 .lut_mask = 16'h5F00; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~7 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y15_N23 +// Location: LCFF_X18_Y15_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[9] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~9_combout ), @@ -16294,47 +14738,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [9])); -// Location: LCCOMB_X21_Y15_N28 +// Location: LCCOMB_X18_Y15_N18 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~8 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [9]), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0]~regout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0]~regout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~8_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~8 .lut_mask = 16'hB8F0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~8 .lut_mask = 16'hBF80; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~8 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y15_N21 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[4] ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4_combout ), - .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[4]~regout )); - -// Location: LCFF_X18_Y15_N1 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[5] ( - .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[5]~regout ), - .aclr(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [5])); - -// Location: LCFF_X19_Y17_N3 +// Location: LCFF_X18_Y13_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][7] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -16347,7 +14765,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][7]~regout )); -// Location: LCFF_X19_Y17_N13 +// Location: LCFF_X18_Y13_N31 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][7] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -16360,21 +14778,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][7]~regout )); -// Location: LCCOMB_X18_Y17_N22 +// Location: LCCOMB_X19_Y13_N6 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[7]~7 ( - .dataa(vcc), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][7]~regout ), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][7]~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][7]~regout ), + .datad(vcc), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[7]~7_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[7]~7 .lut_mask = 16'hFC30; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[7]~7 .lut_mask = 16'hB8B8; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[7]~7 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y18_N5 +// Location: LCFF_X21_Y14_N9 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[8] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[8]~8_combout ), @@ -16387,7 +14805,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [8])); -// Location: LCFF_X18_Y18_N9 +// Location: LCFF_X20_Y14_N27 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[9] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~9_combout ), @@ -16400,21 +14818,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [9])); -// Location: LCCOMB_X18_Y18_N6 +// Location: LCCOMB_X20_Y14_N24 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~8 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [9]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [8]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [8]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~8_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~8 .lut_mask = 16'hEF40; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~8 .lut_mask = 16'hF0D8; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~8 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X16_Y15_N29 +// Location: LCFF_X18_Y18_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[9] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~9_combout ), @@ -16427,21 +14845,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|statu .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [9])); -// Location: LCCOMB_X16_Y15_N2 +// Location: LCCOMB_X18_Y18_N26 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~8 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [9]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [9]), + .datad(vcc), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~8_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~8 .lut_mask = 16'h3F00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~8 .lut_mask = 16'h7070; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~8 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y15_N19 +// Location: LCFF_X18_Y14_N3 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[10] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~10_combout ), @@ -16454,47 +14872,34 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [10])); -// Location: LCCOMB_X18_Y15_N22 +// Location: LCCOMB_X18_Y15_N6 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~9 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [10]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[1]~regout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [10]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[1]~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~9_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~9 .lut_mask = 16'hDF80; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~9 .lut_mask = 16'hB8F0; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~9 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y14_N11 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[5] ( - .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~5_combout ), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[5]~regout )); - -// Location: LCFF_X18_Y15_N5 +// Location: LCFF_X18_Y14_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[6] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[6]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[6]~regout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [6])); -// Location: LCFF_X20_Y18_N31 +// Location: LCFF_X21_Y15_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8]~feeder_combout ), @@ -16507,7 +14912,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8]~regout )); -// Location: LCFF_X19_Y18_N7 +// Location: LCFF_X21_Y14_N27 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][8] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -16520,21 +14925,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][8]~regout )); -// Location: LCCOMB_X19_Y18_N4 +// Location: LCCOMB_X21_Y14_N8 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[8]~8 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][8]~regout ), - .datab(vcc), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8]~regout ), + .dataa(vcc), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][8]~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8]~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[8]~8_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[8]~8 .lut_mask = 16'hFA0A; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[8]~8 .lut_mask = 16'hF0CC; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[8]~8 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y18_N25 +// Location: LCFF_X21_Y14_N5 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[9] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[9]~9_combout ), @@ -16547,7 +14952,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [9])); -// Location: LCFF_X18_Y18_N3 +// Location: LCFF_X20_Y14_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[10] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~10_combout ), @@ -16560,21 +14965,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [10])); -// Location: LCCOMB_X18_Y18_N8 +// Location: LCCOMB_X20_Y14_N26 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~9 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [10]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [10]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [9]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~9_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~9 .lut_mask = 16'hFD08; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~9 .lut_mask = 16'hFB08; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~9 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X16_Y15_N7 +// Location: LCFF_X18_Y18_N31 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[10] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~10_combout ), @@ -16587,21 +14992,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|statu .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [10])); -// Location: LCCOMB_X16_Y15_N28 +// Location: LCCOMB_X18_Y18_N20 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~9 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [10]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), + .datab(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [10]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~9_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~9 .lut_mask = 16'h22AA; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~9 .lut_mask = 16'h5F00; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~9 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y15_N7 +// Location: LCFF_X18_Y14_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[11] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~11_combout ), @@ -16614,21 +15019,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [11])); -// Location: LCCOMB_X18_Y15_N18 +// Location: LCCOMB_X18_Y14_N2 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~10 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [11]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2]~regout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2]~regout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [11]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~10_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~10 .lut_mask = 16'hBF80; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~10 .lut_mask = 16'hEA2A; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~10 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y15_N15 +// Location: LCFF_X20_Y15_N31 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[6] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~6_combout ), @@ -16641,20 +15046,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_b .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[6]~regout )); -// Location: LCFF_X20_Y18_N1 +// Location: LCFF_X21_Y15_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[0] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[0]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[0]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0])); -// Location: LCFF_X20_Y18_N19 +// Location: LCFF_X21_Y15_N27 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9]~feeder_combout ), @@ -16667,7 +15072,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9]~regout )); -// Location: LCFF_X19_Y18_N27 +// Location: LCFF_X21_Y14_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][9] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -16680,21 +15085,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][9]~regout )); -// Location: LCCOMB_X19_Y18_N24 +// Location: LCCOMB_X21_Y14_N4 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[9]~9 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][9]~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9]~regout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][9]~regout ), + .datab(vcc), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9]~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[9]~9_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[9]~9 .lut_mask = 16'hFC0C; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[9]~9 .lut_mask = 16'hF0AA; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[9]~9 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y18_N21 +// Location: LCFF_X21_Y14_N25 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[10] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[10]~10_combout ), @@ -16707,7 +15112,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [10])); -// Location: LCFF_X18_Y18_N23 +// Location: LCFF_X20_Y14_N31 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[11] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~11_combout ), @@ -16720,7 +15125,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [11])); -// Location: LCCOMB_X18_Y18_N2 +// Location: LCCOMB_X20_Y14_N12 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~10 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [11]), @@ -16734,7 +15139,7 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_de defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~10 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X16_Y15_N17 +// Location: LCFF_X18_Y18_N9 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[11] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~11_combout ), @@ -16747,21 +15152,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|statu .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [11])); -// Location: LCCOMB_X16_Y15_N6 +// Location: LCCOMB_X18_Y18_N30 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~10 ( - .dataa(vcc), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [11]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [11]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datad(vcc), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~10_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~10 .lut_mask = 16'h30F0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~10 .lut_mask = 16'h4C4C; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~10 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y15_N25 +// Location: LCFF_X18_Y14_N25 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[12] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~12_combout ), @@ -16774,21 +15179,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [12])); -// Location: LCCOMB_X18_Y15_N6 +// Location: LCCOMB_X18_Y14_N22 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~11 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [12]), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3]~regout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3]~regout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~11_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~11 .lut_mask = 16'hB8F0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~11 .lut_mask = 16'hBF80; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~11 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y18_N29 +// Location: LCFF_X21_Y15_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[1] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[1]~feeder_combout ), @@ -16801,7 +15206,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffe .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1])); -// Location: LCFF_X20_Y18_N7 +// Location: LCFF_X21_Y15_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][10] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -16814,7 +15219,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][10]~regout )); -// Location: LCFF_X19_Y18_N23 +// Location: LCFF_X21_Y14_N11 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][10] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][10]~feeder_combout ), @@ -16827,21 +15232,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][10]~regout )); -// Location: LCCOMB_X19_Y18_N20 +// Location: LCCOMB_X21_Y14_N24 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[10]~10 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][10]~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][10]~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]), + .datac(vcc), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][10]~regout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[10]~10_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[10]~10 .lut_mask = 16'hFC0C; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[10]~10 .lut_mask = 16'hEE22; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[10]~10 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y18_N1 +// Location: LCFF_X21_Y14_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[11] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[11]~11_combout ), @@ -16854,7 +15259,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [11])); -// Location: LCFF_X18_Y18_N1 +// Location: LCFF_X20_Y14_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[12] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~12_combout ), @@ -16867,21 +15272,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [12])); -// Location: LCCOMB_X18_Y18_N22 +// Location: LCCOMB_X20_Y14_N30 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~11 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [11]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [12]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [12]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [11]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~11_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~11 .lut_mask = 16'hF2D0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~11 .lut_mask = 16'hFD08; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~11 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X16_Y15_N11 +// Location: LCFF_X18_Y18_N11 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[12] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~12_combout ), @@ -16894,21 +15299,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|statu .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [12])); -// Location: LCCOMB_X16_Y15_N16 +// Location: LCCOMB_X18_Y18_N8 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~11 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [12]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), + .datab(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [12]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~11_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~11 .lut_mask = 16'h22AA; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~11 .lut_mask = 16'h5F00; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~11 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y15_N3 +// Location: LCFF_X18_Y14_N11 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[13] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~13_combout ), @@ -16921,21 +15326,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [13])); -// Location: LCCOMB_X18_Y15_N24 +// Location: LCCOMB_X18_Y14_N24 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~12 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[4]~regout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [13]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [13]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[4]~regout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~12_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~12 .lut_mask = 16'hEA2A; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~12 .lut_mask = 16'hBF80; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~12 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y18_N25 +// Location: LCFF_X21_Y15_N9 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[2] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[2]~feeder_combout ), @@ -16948,47 +15353,47 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffe .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2])); -// Location: LCFF_X20_Y18_N11 +// Location: LCFF_X21_Y15_N11 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][11] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][11]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][11]~regout )); -// Location: LCFF_X19_Y18_N19 +// Location: LCFF_X21_Y14_N15 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][11] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3]), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][11]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][11]~regout )); -// Location: LCCOMB_X19_Y18_N0 +// Location: LCCOMB_X21_Y14_N20 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[11]~11 ( .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][11]~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][11]~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][11]~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][11]~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[11]~11_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[11]~11 .lut_mask = 16'hF3C0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[11]~11 .lut_mask = 16'hCCF0; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[11]~11 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y18_N13 +// Location: LCFF_X21_Y14_N17 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[12] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[12]~12_combout ), @@ -17001,7 +15406,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [12])); -// Location: LCFF_X18_Y18_N27 +// Location: LCFF_X20_Y14_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[13] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~13_combout ), @@ -17014,7 +15419,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [13])); -// Location: LCCOMB_X18_Y18_N0 +// Location: LCCOMB_X20_Y14_N0 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~12 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [13]), @@ -17028,7 +15433,7 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_de defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~12 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X16_Y15_N21 +// Location: LCFF_X18_Y18_N29 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[13] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~13_combout ), @@ -17041,21 +15446,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|statu .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [13])); -// Location: LCCOMB_X16_Y15_N10 +// Location: LCCOMB_X18_Y18_N10 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~12 ( - .dataa(vcc), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [13]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), + .datab(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [13]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~12_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~12 .lut_mask = 16'h30F0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~12 .lut_mask = 16'h5F00; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~12 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y15_N13 +// Location: LCFF_X18_Y14_N29 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[14] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~14_combout ), @@ -17068,34 +15473,34 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [14])); -// Location: LCCOMB_X18_Y15_N2 +// Location: LCCOMB_X18_Y14_N10 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~13 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [14]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[5]~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[5]~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [14]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~13_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~13 .lut_mask = 16'hD8F0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~13 .lut_mask = 16'hEA2A; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~13 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y18_N13 +// Location: LCFF_X21_Y15_N5 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[3] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[3]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[3]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3])); -// Location: LCFF_X20_Y18_N15 +// Location: LCFF_X21_Y15_N15 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][12] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -17108,7 +15513,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][12]~regout )); -// Location: LCFF_X19_Y18_N31 +// Location: LCFF_X21_Y14_N3 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][12] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -17121,21 +15526,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][12]~regout )); -// Location: LCCOMB_X19_Y18_N12 +// Location: LCCOMB_X21_Y14_N16 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[12]~12 ( .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][12]~regout ), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][12]~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][12]~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[12]~12_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[12]~12 .lut_mask = 16'hF3C0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[12]~12 .lut_mask = 16'hF0CC; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[12]~12 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y18_N17 +// Location: LCFF_X21_Y14_N29 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[13] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[13]~13_combout ), @@ -17148,7 +15553,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [13])); -// Location: LCFF_X18_Y18_N29 +// Location: LCFF_X20_Y14_N29 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[14] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~14_combout ), @@ -17161,21 +15566,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [14])); -// Location: LCCOMB_X18_Y18_N26 +// Location: LCCOMB_X20_Y14_N18 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~13 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [13]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [14]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [13]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~13_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~13 .lut_mask = 16'hAACA; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~13 .lut_mask = 16'hFD08; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~13 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X16_Y15_N15 +// Location: LCFF_X18_Y18_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[14] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~14_combout ), @@ -17188,21 +15593,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|statu .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [14])); -// Location: LCCOMB_X16_Y15_N20 +// Location: LCCOMB_X18_Y18_N28 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~13 ( - .dataa(vcc), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [14]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), + .datab(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [14]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~13_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~13 .lut_mask = 16'h30F0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~13 .lut_mask = 16'h5F00; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~13 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y19_N25 +// Location: LCFF_X40_Y18_N9 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[0] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~0_combout ), @@ -17215,7 +15620,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [0])); -// Location: LCCOMB_X18_Y15_N12 +// Location: LCCOMB_X18_Y14_N28 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~14 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[6]~regout ), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), @@ -17229,20 +15634,20 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_de defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~14 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y18_N17 +// Location: LCFF_X21_Y15_N25 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[4] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[4]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[4]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4])); -// Location: LCFF_X20_Y18_N3 +// Location: LCFF_X21_Y15_N3 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][13] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][13]~feeder_combout ), @@ -17255,7 +15660,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][13]~regout )); -// Location: LCFF_X19_Y18_N11 +// Location: LCFF_X21_Y14_N31 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][13] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][13]~feeder_combout ), @@ -17268,21 +15673,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][13]~regout )); -// Location: LCCOMB_X19_Y18_N16 +// Location: LCCOMB_X21_Y14_N28 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[13]~13 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][13]~regout ), - .datab(vcc), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][13]~regout ), + .dataa(vcc), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][13]~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][13]~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[13]~13_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[13]~13 .lut_mask = 16'hFA0A; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[13]~13 .lut_mask = 16'hCCF0; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[13]~13 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y18_N29 +// Location: LCFF_X21_Y14_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[14] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[14]~14_combout ), @@ -17295,21 +15700,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [14])); -// Location: LCCOMB_X18_Y18_N28 +// Location: LCCOMB_X20_Y14_N28 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~14 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), - .datab(vcc), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [14]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [14]), + .datad(vcc), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~14_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~14 .lut_mask = 16'hFF0A; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~14 .lut_mask = 16'hCECE; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~14 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X16_Y15_N9 +// Location: LCFF_X18_Y18_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[15] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~15_combout ), @@ -17322,21 +15727,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|statu .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [15])); -// Location: LCCOMB_X16_Y15_N14 +// Location: LCCOMB_X18_Y18_N6 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~14 ( - .dataa(vcc), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [15]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), + .datab(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [15]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~14_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~14 .lut_mask = 16'h30F0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~14 .lut_mask = 16'h5F00; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~14 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y19_N27 +// Location: LCFF_X40_Y18_N3 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[1] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~1_combout ), @@ -17349,7 +15754,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [1])); -// Location: LCCOMB_X15_Y15_N28 +// Location: LCCOMB_X18_Y17_N30 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~0 ( .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena[1]~reg0_regout ), .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), @@ -17363,49 +15768,49 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_de defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y17_N0 +// Location: LCCOMB_X38_Y17_N0 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal0~0 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [3]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [5]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [2]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [4]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [4]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [5]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [2]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal0~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal0~0 .lut_mask = 16'h0080; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal0~0 .lut_mask = 16'h2000; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal0~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y17_N2 +// Location: LCCOMB_X38_Y17_N10 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [1]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~0_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [0]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~0_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [0]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [1]), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal0~0_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1 .lut_mask = 16'h8CCC; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1 .lut_mask = 16'hA2AA; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X18_Y19_N24 +// Location: LCCOMB_X40_Y18_N8 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~0 ( .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0~portbdataout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [1]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [1]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0~portbdataout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~0 .lut_mask = 16'hFC30; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~0 .lut_mask = 16'hCFC0; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y15_N23 +// Location: LCFF_X19_Y14_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[5] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[5]~feeder_combout ), @@ -17418,7 +15823,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffe .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5])); -// Location: LCFF_X20_Y18_N5 +// Location: LCFF_X21_Y15_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][14] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][14]~feeder_combout ), @@ -17431,34 +15836,34 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][14]~regout )); -// Location: LCFF_X19_Y18_N15 +// Location: LCFF_X21_Y14_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][14] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][14]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][14]~regout )); -// Location: LCCOMB_X19_Y18_N28 +// Location: LCCOMB_X21_Y14_N0 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[14]~14 ( .dataa(vcc), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][14]~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][14]~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][14]~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[14]~14_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[14]~14 .lut_mask = 16'hFC0C; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[14]~14 .lut_mask = 16'hF0CC; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[14]~14 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X16_Y15_N27 +// Location: LCFF_X18_Y18_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[16] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~16_combout ), @@ -17471,21 +15876,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|statu .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [16])); -// Location: LCCOMB_X16_Y15_N8 +// Location: LCCOMB_X18_Y18_N0 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~15 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), + .datab(vcc), .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [16]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~15_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~15 .lut_mask = 16'h3F00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~15 .lut_mask = 16'h5F00; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~15 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y19_N29 +// Location: LCFF_X40_Y18_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[2] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~2_combout ), @@ -17498,34 +15903,34 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [2])); -// Location: LCCOMB_X18_Y19_N26 +// Location: LCCOMB_X40_Y18_N2 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~1 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [2]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [2]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), + .datad(vcc), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~1 .lut_mask = 16'hFC30; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~1 .lut_mask = 16'hACAC; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y19_N17 +// Location: LCFF_X42_Y17_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][0] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][0]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][0]~regout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][0]~regout )); -// Location: LCFF_X20_Y18_N23 +// Location: LCFF_X21_Y15_N31 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[6] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[6]~feeder_combout ), @@ -17538,35 +15943,35 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffe .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6])); -// Location: LCCOMB_X15_Y17_N26 +// Location: LCCOMB_X38_Y17_N28 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit1a[5]~0 ( .dataa(vcc), - .datab(vcc), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita5~0_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita5~0_combout ), + .datac(vcc), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit1a[5]~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit1a[5]~0 .lut_mask = 16'hF0FF; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit1a[5]~0 .lut_mask = 16'hCCFF; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit1a[5]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X16_Y15_N26 +// Location: LCCOMB_X18_Y18_N18 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~16 ( .dataa(vcc), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .datac(\altera_internal_jtag~TDIUTAP ), + .datab(\altera_internal_jtag~TDIUTAP ), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~16_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~16 .lut_mask = 16'h30F0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~16 .lut_mask = 16'h0CCC; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~16 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y19_N7 +// Location: LCFF_X40_Y18_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[3] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~3_combout ), @@ -17579,21 +15984,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [3])); -// Location: LCCOMB_X18_Y19_N28 +// Location: LCCOMB_X40_Y18_N20 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~2 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [3]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [3]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), + .datad(vcc), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~2_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~2 .lut_mask = 16'hEE22; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~2 .lut_mask = 16'hACAC; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y19_N11 +// Location: LCFF_X42_Y17_N11 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][1] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][1]~feeder_combout ), @@ -17606,24 +16011,24 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][1]~regout )); -// Location: LCFF_X24_Y19_N29 +// Location: LCFF_X42_Y17_N5 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][0] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][0]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0]~regout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][0]~regout )); -// Location: LCCOMB_X15_Y15_N30 +// Location: LCCOMB_X18_Y17_N24 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~6_combout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~6_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4]~regout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~combout ), @@ -17633,21 +16038,21 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_de defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y17_N20 +// Location: LCCOMB_X38_Y17_N6 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter_clk_ena ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal0~0_combout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [1]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~combout ), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [0]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|safe_q [1]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal0~0_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter_clk_ena~combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter_clk_ena .lut_mask = 16'hAAAE; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter_clk_ena .lut_mask = 16'hCDCC; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter_clk_ena .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y19_N1 +// Location: LCFF_X40_Y18_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[4] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~4_combout ), @@ -17660,21 +16065,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [4])); -// Location: LCCOMB_X18_Y19_N6 +// Location: LCCOMB_X40_Y18_N6 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~3 ( .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [4]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [4]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~3_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~3 .lut_mask = 16'hFC0C; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~3 .lut_mask = 16'hCFC0; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y19_N25 +// Location: LCFF_X36_Y15_N9 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][2] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][2]~feeder_combout ), @@ -17687,7 +16092,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][2]~regout )); -// Location: LCFF_X24_Y19_N23 +// Location: LCFF_X42_Y17_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1]~feeder_combout ), @@ -17700,7 +16105,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1]~regout )); -// Location: LCFF_X24_Y19_N1 +// Location: LCFF_X42_Y17_N9 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0]~feeder_combout ), @@ -17713,7 +16118,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0]~regout )); -// Location: LCFF_X18_Y19_N11 +// Location: LCFF_X40_Y18_N27 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[5] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~5_combout ), @@ -17726,21 +16131,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [5])); -// Location: LCCOMB_X18_Y19_N0 +// Location: LCCOMB_X40_Y18_N0 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~4 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [5]), + .dataa(vcc), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [5]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~4_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~4 .lut_mask = 16'hEE22; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~4 .lut_mask = 16'hCFC0; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y19_N3 +// Location: LCFF_X36_Y15_N11 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][3] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][3]~feeder_combout ), @@ -17753,33 +16158,33 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][3]~regout )); -// Location: LCFF_X19_Y19_N13 +// Location: LCFF_X36_Y15_N29 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][2] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][2]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][2]~regout )); -// Location: LCFF_X24_Y19_N19 +// Location: LCFF_X42_Y17_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][1] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][1]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1]~regout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][1]~regout )); -// Location: LCFF_X24_Y19_N13 +// Location: LCFF_X42_Y17_N29 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][0] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -17792,7 +16197,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][0]~regout )); -// Location: LCFF_X18_Y19_N21 +// Location: LCFF_X40_Y18_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[6] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~6_combout ), @@ -17805,21 +16210,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [6])); -// Location: LCCOMB_X18_Y19_N10 +// Location: LCCOMB_X40_Y18_N26 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~5 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [6]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [6]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), + .datad(vcc), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~5_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~5 .lut_mask = 16'hF3C0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~5 .lut_mask = 16'hACAC; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X16_Y18_N15 +// Location: LCFF_X34_Y18_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][4] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][4]~feeder_combout ), @@ -17832,7 +16237,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][4]~regout )); -// Location: LCFF_X19_Y19_N31 +// Location: LCFF_X36_Y15_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3]~feeder_combout ), @@ -17845,7 +16250,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3]~regout )); -// Location: LCFF_X19_Y19_N17 +// Location: LCFF_X36_Y15_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2]~feeder_combout ), @@ -17858,7 +16263,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2]~regout )); -// Location: LCFF_X24_Y19_N7 +// Location: LCFF_X42_Y17_N15 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1]~feeder_combout ), @@ -17871,7 +16276,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1]~regout )); -// Location: LCFF_X18_Y19_N23 +// Location: LCFF_X40_Y18_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[7] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~7_combout ), @@ -17884,21 +16289,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [7])); -// Location: LCCOMB_X18_Y19_N20 +// Location: LCCOMB_X40_Y18_N12 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~6 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [7]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [7]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), + .datad(vcc), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~6_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~6 .lut_mask = 16'hEE22; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~6 .lut_mask = 16'hCACA; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~6 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X16_Y18_N1 +// Location: LCFF_X33_Y18_N25 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][5] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][5]~feeder_combout ), @@ -17911,7 +16316,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][5]~regout )); -// Location: LCFF_X16_Y18_N27 +// Location: LCFF_X34_Y18_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][4] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][4]~feeder_combout ), @@ -17924,20 +16329,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][4]~regout )); -// Location: LCFF_X19_Y19_N19 +// Location: LCFF_X36_Y15_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][3] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][3]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][3]~regout )); -// Location: LCFF_X19_Y19_N29 +// Location: LCFF_X36_Y15_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][2] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -17950,7 +16355,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][2]~regout )); -// Location: LCFF_X18_Y19_N9 +// Location: LCFF_X40_Y18_N17 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[8] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~8_combout ), @@ -17963,21 +16368,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [8])); -// Location: LCCOMB_X18_Y19_N22 +// Location: LCCOMB_X40_Y18_N22 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~7 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [8]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [8]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), .datad(vcc), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~7_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~7 .lut_mask = 16'hE2E2; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~7 .lut_mask = 16'hACAC; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~7 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X21_Y16_N13 +// Location: LCFF_X33_Y18_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][6] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][6]~feeder_combout ), @@ -17990,20 +16395,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][6]~regout )); -// Location: LCFF_X16_Y18_N29 +// Location: LCFF_X33_Y18_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][5] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][5]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][5]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][5]~regout )); -// Location: LCFF_X16_Y18_N23 +// Location: LCFF_X34_Y18_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4]~feeder_combout ), @@ -18016,7 +16421,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4]~regout )); -// Location: LCFF_X19_Y19_N15 +// Location: LCFF_X36_Y15_N31 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3]~feeder_combout ), @@ -18029,7 +16434,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3]~regout )); -// Location: LCFF_X18_Y19_N3 +// Location: LCFF_X40_Y18_N11 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[9] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~9_combout ), @@ -18042,21 +16447,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [9])); -// Location: LCCOMB_X18_Y19_N8 +// Location: LCCOMB_X40_Y18_N16 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~8 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [9]), + .datab(vcc), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [9]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~8_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~8 .lut_mask = 16'hFC0C; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~8 .lut_mask = 16'hAFA0; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~8 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X21_Y16_N7 +// Location: LCFF_X32_Y15_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][7] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][7]~feeder_combout ), @@ -18069,7 +16474,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][7]~regout )); -// Location: LCFF_X21_Y16_N1 +// Location: LCFF_X33_Y18_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6]~feeder_combout ), @@ -18082,20 +16487,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6]~regout )); -// Location: LCFF_X16_Y18_N9 +// Location: LCFF_X33_Y18_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][5] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][5]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][5]~regout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][5]~regout )); -// Location: LCFF_X16_Y18_N11 +// Location: LCFF_X34_Y18_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][4] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -18108,7 +16513,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][4]~regout )); -// Location: LCFF_X18_Y19_N13 +// Location: LCFF_X40_Y18_N29 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[10] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~10_combout ), @@ -18121,21 +16526,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [10])); -// Location: LCCOMB_X18_Y19_N2 +// Location: LCCOMB_X40_Y18_N10 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~9 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [10]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [10]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), + .datad(vcc), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~9_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~9 .lut_mask = 16'hFC30; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~9 .lut_mask = 16'hCACA; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~9 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X21_Y17_N13 +// Location: LCFF_X32_Y15_N31 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][8] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][8]~feeder_combout ), @@ -18148,7 +16553,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][8]~regout )); -// Location: LCFF_X21_Y16_N11 +// Location: LCFF_X32_Y15_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7]~feeder_combout ), @@ -18161,33 +16566,33 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7]~regout )); -// Location: LCFF_X21_Y16_N29 +// Location: LCFF_X33_Y18_N11 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][6] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][6]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][6]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][6]~regout )); -// Location: LCFF_X16_Y18_N13 +// Location: LCFF_X33_Y18_N5 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][5] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][5]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|acq_data_in_reg [5]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][5]~regout )); -// Location: LCFF_X18_Y19_N31 +// Location: LCFF_X40_Y18_N31 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[11] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~11_combout ), @@ -18200,34 +16605,34 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [11])); -// Location: LCCOMB_X18_Y19_N12 +// Location: LCCOMB_X40_Y18_N28 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~10 ( .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [11]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [11]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~10_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~10 .lut_mask = 16'hFC30; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~10 .lut_mask = 16'hCFC0; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~10 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X11_Y21_N23 +// Location: LCFF_X30_Y18_N25 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][9] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][9]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][9]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][9]~regout )); -// Location: LCFF_X21_Y17_N7 +// Location: LCFF_X32_Y15_N11 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8]~feeder_combout ), @@ -18240,33 +16645,33 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8]~regout )); -// Location: LCFF_X21_Y16_N23 +// Location: LCFF_X32_Y15_N29 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][7] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][7]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][7]~regout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][7]~regout )); -// Location: LCFF_X21_Y16_N9 +// Location: LCFF_X33_Y18_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][6] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|acq_data_in_reg [6]), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][6]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][6]~regout )); -// Location: LCFF_X18_Y19_N17 +// Location: LCFF_X40_Y18_N25 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[12] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~12_combout ), @@ -18279,34 +16684,34 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [12])); -// Location: LCCOMB_X18_Y19_N30 +// Location: LCCOMB_X40_Y18_N30 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~11 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [12]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [12]), + .datab(vcc), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~11_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~11 .lut_mask = 16'hF3C0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~11 .lut_mask = 16'hAFA0; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~11 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y21_N21 +// Location: LCFF_X37_Y18_N15 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][10] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][10]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][10]~regout )); -// Location: LCFF_X11_Y21_N25 +// Location: LCFF_X30_Y18_N27 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][9] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][9]~feeder_combout ), @@ -18319,7 +16724,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][9]~regout )); -// Location: LCFF_X21_Y17_N1 +// Location: LCFF_X32_Y15_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8]~feeder_combout ), @@ -18332,20 +16737,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8]~regout )); -// Location: LCFF_X21_Y16_N3 +// Location: LCFF_X32_Y15_N17 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][7] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][7]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|acq_data_in_reg [7]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][7]~regout )); -// Location: LCFF_X18_Y19_N19 +// Location: LCFF_X40_Y18_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[13] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~13_combout ), @@ -18358,21 +16763,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [13])); -// Location: LCCOMB_X18_Y19_N16 +// Location: LCCOMB_X40_Y18_N24 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~12 ( .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [13]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [13]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~12_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~12 .lut_mask = 16'hFC30; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~12 .lut_mask = 16'hCFC0; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~12 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X13_Y19_N21 +// Location: LCFF_X30_Y18_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][11] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][11]~feeder_combout ), @@ -18385,7 +16790,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][11]~regout )); -// Location: LCFF_X19_Y21_N5 +// Location: LCFF_X37_Y18_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10]~feeder_combout ), @@ -18398,33 +16803,33 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10]~regout )); -// Location: LCFF_X11_Y21_N19 +// Location: LCFF_X30_Y18_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][9] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][9]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][9]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][9]~regout )); -// Location: LCFF_X21_Y17_N27 +// Location: LCFF_X32_Y15_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][8] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|acq_data_in_reg [8]), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][8]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][8]~regout )); -// Location: LCFF_X16_Y19_N9 +// Location: LCFF_X42_Y18_N17 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[14] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~14_combout ), @@ -18437,21 +16842,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [14])); -// Location: LCCOMB_X18_Y19_N18 +// Location: LCCOMB_X40_Y18_N18 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~13 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [14]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 ), + .datab(vcc), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [14]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~13_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~13 .lut_mask = 16'hF3C0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~13 .lut_mask = 16'hFA0A; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~13 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X15_Y21_N5 +// Location: LCFF_X29_Y18_N3 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][12] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][12]~feeder_combout ), @@ -18464,7 +16869,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][12]~regout )); -// Location: LCFF_X13_Y19_N7 +// Location: LCFF_X30_Y18_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][11] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -18477,20 +16882,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][11]~regout )); -// Location: LCFF_X19_Y21_N7 +// Location: LCFF_X37_Y18_N27 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][10] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][10]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][10]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][10]~regout )); -// Location: LCFF_X11_Y21_N21 +// Location: LCFF_X30_Y18_N11 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][9] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][9]~feeder_combout ), @@ -18503,7 +16908,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][9]~regout )); -// Location: LCFF_X16_Y19_N11 +// Location: LCFF_X42_Y18_N27 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[15] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~15_combout ), @@ -18516,21 +16921,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [15])); -// Location: LCCOMB_X16_Y19_N8 +// Location: LCCOMB_X42_Y18_N16 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~14 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [15]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 ), - .datad(vcc), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 ), + .datab(vcc), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [15]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~14_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~14 .lut_mask = 16'hB8B8; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~14 .lut_mask = 16'hFA0A; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~14 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X12_Y21_N17 +// Location: LCFF_X29_Y18_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][13] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][13]~feeder_combout ), @@ -18543,20 +16948,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][13]~regout )); -// Location: LCFF_X15_Y21_N23 +// Location: LCFF_X29_Y18_N31 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][12] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][12]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][12]~regout )); -// Location: LCFF_X13_Y19_N25 +// Location: LCFF_X30_Y18_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][11] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][11]~feeder_combout ), @@ -18569,20 +16974,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][11]~regout )); -// Location: LCFF_X19_Y21_N23 +// Location: LCFF_X37_Y18_N29 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][10] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][10]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|acq_data_in_reg [10]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][10]~regout )); -// Location: LCFF_X16_Y19_N5 +// Location: LCFF_X42_Y18_N29 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[16] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~16_combout ), @@ -18595,21 +17000,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [16])); -// Location: LCCOMB_X16_Y19_N10 +// Location: LCCOMB_X42_Y18_N26 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~15 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [16]), - .datad(vcc), + .dataa(vcc), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [16]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~15_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~15 .lut_mask = 16'hE2E2; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~15 .lut_mask = 16'hFC0C; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~15 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X11_Y21_N31 +// Location: LCFF_X37_Y18_N31 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][14] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -18622,20 +17027,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][14]~regout )); -// Location: LCFF_X12_Y21_N27 +// Location: LCFF_X29_Y18_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][13] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][13]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][13]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][13]~regout )); -// Location: LCFF_X15_Y21_N9 +// Location: LCFF_X29_Y18_N11 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12]~feeder_combout ), @@ -18648,20 +17053,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12]~regout )); -// Location: LCFF_X13_Y19_N19 +// Location: LCFF_X30_Y18_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][11] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][11]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|acq_data_in_reg [11]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][11]~regout )); -// Location: LCFF_X16_Y19_N23 +// Location: LCFF_X42_Y18_N31 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[17] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~17_combout ), @@ -18674,21 +17079,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [17])); -// Location: LCCOMB_X16_Y19_N4 +// Location: LCCOMB_X42_Y18_N28 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~16 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datac(vcc), + .datab(vcc), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [17]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~16_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~16 .lut_mask = 16'hEE22; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~16 .lut_mask = 16'hFA0A; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~16 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X11_Y19_N23 +// Location: LCFF_X27_Y18_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][15] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -18701,7 +17106,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][15]~regout )); -// Location: LCFF_X11_Y21_N17 +// Location: LCFF_X37_Y18_N25 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][14] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][14]~feeder_combout ), @@ -18714,20 +17119,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][14]~regout )); -// Location: LCFF_X12_Y21_N25 +// Location: LCFF_X29_Y18_N29 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][13] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][13]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13]~regout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][13]~regout )); -// Location: LCFF_X15_Y21_N27 +// Location: LCFF_X29_Y18_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][12] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -18740,7 +17145,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][12]~regout )); -// Location: LCFF_X16_Y19_N1 +// Location: LCFF_X42_Y18_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[18] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~18_combout ), @@ -18753,21 +17158,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [18])); -// Location: LCCOMB_X16_Y19_N22 +// Location: LCCOMB_X42_Y18_N30 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~17 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datac(vcc), + .datab(vcc), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [18]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~17_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~17 .lut_mask = 16'hEE22; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~17 .lut_mask = 16'hFA0A; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~17 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X10_Y19_N31 +// Location: LCFF_X38_Y18_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][16] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][16]~feeder_combout ), @@ -18780,7 +17185,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][16]~regout )); -// Location: LCFF_X11_Y19_N9 +// Location: LCFF_X27_Y18_N25 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][15] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][15]~feeder_combout ), @@ -18793,20 +17198,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][15]~regout )); -// Location: LCFF_X11_Y21_N11 +// Location: LCFF_X37_Y18_N3 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][14] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][14]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14]~regout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][14]~regout )); -// Location: LCFF_X11_Y21_N13 +// Location: LCFF_X29_Y18_N9 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13]~feeder_combout ), @@ -18819,7 +17224,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13]~regout )); -// Location: LCFF_X16_Y19_N19 +// Location: LCFF_X42_Y18_N11 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[19] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~19_combout ), @@ -18832,34 +17237,34 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [19])); -// Location: LCCOMB_X16_Y19_N0 +// Location: LCCOMB_X42_Y18_N0 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~18 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 ), + .datab(vcc), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [19]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~18_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~18 .lut_mask = 16'hFC30; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~18 .lut_mask = 16'hFA0A; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~18 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X10_Y19_N17 +// Location: LCFF_X29_Y19_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][17] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][17]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][17]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][17]~regout )); -// Location: LCFF_X10_Y19_N3 +// Location: LCFF_X38_Y18_N31 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16]~feeder_combout ), @@ -18872,7 +17277,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16]~regout )); -// Location: LCFF_X11_Y19_N3 +// Location: LCFF_X27_Y18_N11 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15]~feeder_combout ), @@ -18885,7 +17290,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15]~regout )); -// Location: LCFF_X11_Y21_N7 +// Location: LCFF_X37_Y18_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14]~feeder_combout ), @@ -18898,7 +17303,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14]~regout )); -// Location: LCFF_X16_Y19_N21 +// Location: LCFF_X42_Y18_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[20] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~20_combout ), @@ -18911,47 +17316,47 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [20])); -// Location: LCCOMB_X16_Y19_N18 +// Location: LCCOMB_X42_Y18_N10 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~19 ( .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [20]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [20]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~19_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~19 .lut_mask = 16'hF3C0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~19 .lut_mask = 16'hFC0C; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~19 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X16_Y18_N31 +// Location: LCFF_X38_Y18_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][18] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][18]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][18]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][18]~regout )); -// Location: LCFF_X10_Y19_N5 +// Location: LCFF_X29_Y19_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][17] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][17]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][17]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][17]~regout )); -// Location: LCFF_X10_Y19_N7 +// Location: LCFF_X38_Y18_N11 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16]~feeder_combout ), @@ -18964,20 +17369,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16]~regout )); -// Location: LCFF_X11_Y19_N29 +// Location: LCFF_X27_Y18_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][15] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][15]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|acq_data_in_reg [15]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][15]~regout )); -// Location: LCFF_X16_Y19_N31 +// Location: LCFF_X42_Y18_N15 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[21] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~21_combout ), @@ -18990,21 +17395,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [21])); -// Location: LCCOMB_X16_Y19_N20 +// Location: LCCOMB_X42_Y18_N12 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~20 ( .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [21]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [21]), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~20_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~20 .lut_mask = 16'hCFC0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~20 .lut_mask = 16'hF3C0; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~20 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X14_Y20_N27 +// Location: LCFF_X29_Y19_N27 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][19] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][19]~feeder_combout ), @@ -19017,33 +17422,33 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][19]~regout )); -// Location: LCFF_X16_Y18_N25 +// Location: LCFF_X38_Y18_N29 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][18] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][18]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][18]~regout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][18]~regout )); -// Location: LCFF_X10_Y19_N9 +// Location: LCFF_X25_Y19_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][17] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][17]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][17]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][17]~regout )); -// Location: LCFF_X10_Y19_N11 +// Location: LCFF_X38_Y18_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][16] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -19056,7 +17461,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][16]~regout )); -// Location: LCFF_X16_Y19_N17 +// Location: LCFF_X42_Y18_N9 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[22] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~22_combout ), @@ -19069,7 +17474,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [22])); -// Location: LCCOMB_X16_Y19_N30 +// Location: LCCOMB_X42_Y18_N14 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~21 ( .dataa(vcc), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), @@ -19083,59 +17488,59 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_de defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~21 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X11_Y18_N23 +// Location: LCFF_X30_Y18_N9 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][20] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][20]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][20]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][20]~regout )); -// Location: LCFF_X14_Y20_N29 +// Location: LCFF_X29_Y19_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][19] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][19]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][19]~regout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][19]~regout )); -// Location: LCFF_X16_Y18_N19 +// Location: LCFF_X38_Y18_N17 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][18] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][18]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][18]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][18]~regout )); -// Location: LCFF_X10_Y19_N21 +// Location: LCFF_X25_Y19_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][17] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][17]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|acq_data_in_reg [17]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][17]~regout )); -// Location: LCFF_X16_Y19_N3 +// Location: LCFF_X42_Y18_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[23] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~23_combout ), @@ -19148,21 +17553,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [23])); -// Location: LCCOMB_X16_Y19_N16 +// Location: LCCOMB_X42_Y18_N8 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~22 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 ), + .datab(vcc), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [23]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~22_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~22 .lut_mask = 16'hFC30; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~22 .lut_mask = 16'hFA0A; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~22 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X14_Y19_N23 +// Location: LCFF_X29_Y19_N31 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][21] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][21]~feeder_combout ), @@ -19175,7 +17580,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][21]~regout )); -// Location: LCFF_X11_Y18_N9 +// Location: LCFF_X30_Y18_N3 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][20] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][20]~feeder_combout ), @@ -19188,7 +17593,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][20]~regout )); -// Location: LCFF_X14_Y20_N7 +// Location: LCFF_X29_Y19_N9 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][19] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -19201,20 +17606,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][19]~regout )); -// Location: LCFF_X16_Y18_N5 +// Location: LCFF_X38_Y18_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][18] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|acq_data_in_reg [18]), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][18]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][18]~regout )); -// Location: LCFF_X16_Y19_N13 +// Location: LCFF_X42_Y18_N5 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[24] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~24_combout ), @@ -19227,34 +17632,34 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [24])); -// Location: LCCOMB_X16_Y19_N2 +// Location: LCCOMB_X42_Y18_N18 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~23 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [24]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [24]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), + .datad(vcc), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~23_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~23 .lut_mask = 16'hBB88; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~23 .lut_mask = 16'hCACA; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~23 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X10_Y19_N15 +// Location: LCFF_X24_Y16_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][22] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][22]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22]~regout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][22]~regout )); -// Location: LCFF_X14_Y19_N1 +// Location: LCFF_X29_Y19_N3 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][21] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][21]~feeder_combout ), @@ -19267,33 +17672,33 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][21]~regout )); -// Location: LCFF_X11_Y18_N3 +// Location: LCFF_X30_Y18_N29 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][20] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][20]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20]~regout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][20]~regout )); -// Location: LCFF_X14_Y20_N9 +// Location: LCFF_X29_Y19_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][19] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][19]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|acq_data_in_reg [19]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][19]~regout )); -// Location: LCFF_X16_Y19_N7 +// Location: LCFF_X42_Y18_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[25] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~25_combout ), @@ -19306,21 +17711,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [25])); -// Location: LCCOMB_X16_Y19_N12 +// Location: LCCOMB_X42_Y18_N4 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~24 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [25]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 ), + .datab(vcc), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [25]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~24_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~24 .lut_mask = 16'hBB88; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~24 .lut_mask = 16'hFA0A; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~24 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X15_Y19_N13 +// Location: LCFF_X30_Y15_N5 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][23] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][23]~feeder_combout ), @@ -19333,7 +17738,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][23]~regout )); -// Location: LCFF_X10_Y19_N1 +// Location: LCFF_X24_Y16_N9 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22]~feeder_combout ), @@ -19346,20 +17751,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22]~regout )); -// Location: LCFF_X14_Y19_N11 +// Location: LCFF_X29_Y19_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][21] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][21]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][21]~regout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][21]~regout )); -// Location: LCFF_X11_Y18_N29 +// Location: LCFF_X30_Y18_N15 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20]~feeder_combout ), @@ -19372,7 +17777,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20]~regout )); -// Location: LCFF_X16_Y19_N25 +// Location: LCFF_X42_Y18_N25 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[26] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~26_combout ), @@ -19385,21 +17790,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [26])); -// Location: LCCOMB_X16_Y19_N6 +// Location: LCCOMB_X42_Y18_N22 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~25 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [26]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), .datad(vcc), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~25_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~25 .lut_mask = 16'hB8B8; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~25 .lut_mask = 16'hACAC; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~25 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X34_Y14_N15 +// Location: LCFF_X32_Y12_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][24] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][24]~feeder_combout ), @@ -19412,20 +17817,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][24]~regout )); -// Location: LCFF_X15_Y19_N23 +// Location: LCFF_X30_Y15_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][23] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][23]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][23]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][23]~regout )); -// Location: LCFF_X10_Y19_N27 +// Location: LCFF_X24_Y16_N3 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22]~feeder_combout ), @@ -19438,20 +17843,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22]~regout )); -// Location: LCFF_X14_Y19_N29 +// Location: LCFF_X29_Y19_N25 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][21] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|acq_data_in_reg [21]), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][21]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][21]~regout )); -// Location: LCFF_X16_Y19_N27 +// Location: LCFF_X42_Y18_N3 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[27] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~27_combout ), @@ -19464,21 +17869,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [27])); -// Location: LCCOMB_X16_Y19_N24 +// Location: LCCOMB_X42_Y18_N24 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~26 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datac(vcc), + .dataa(vcc), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [27]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~26_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~26 .lut_mask = 16'hEE22; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~26 .lut_mask = 16'hFC0C; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~26 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y21_N21 +// Location: LCFF_X30_Y16_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][25] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][25]~feeder_combout ), @@ -19491,7 +17896,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][25]~regout )); -// Location: LCFF_X34_Y14_N9 +// Location: LCFF_X32_Y12_N25 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24]~feeder_combout ), @@ -19504,7 +17909,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24]~regout )); -// Location: LCFF_X15_Y19_N25 +// Location: LCFF_X30_Y15_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][23] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][23]~feeder_combout ), @@ -19517,7 +17922,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][23]~regout )); -// Location: LCFF_X10_Y19_N13 +// Location: LCFF_X24_Y16_N29 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22]~feeder_combout ), @@ -19530,7 +17935,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22]~regout )); -// Location: LCFF_X16_Y19_N29 +// Location: LCFF_X42_Y18_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[28] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~28_combout ), @@ -19543,21 +17948,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [28])); -// Location: LCCOMB_X16_Y19_N26 +// Location: LCCOMB_X42_Y18_N2 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~27 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [28]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [28]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 ), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 ), + .datad(vcc), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~27_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~27 .lut_mask = 16'hCFC0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~27 .lut_mask = 16'hACAC; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~27 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X15_Y19_N3 +// Location: LCFF_X30_Y15_N3 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][26] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][26]~feeder_combout ), @@ -19570,7 +17975,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][26]~regout )); -// Location: LCFF_X18_Y21_N23 +// Location: LCFF_X30_Y16_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][25] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][25]~feeder_combout ), @@ -19583,7 +17988,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][25]~regout )); -// Location: LCFF_X34_Y14_N19 +// Location: LCFF_X32_Y12_N27 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24]~feeder_combout ), @@ -19596,20 +18001,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24]~regout )); -// Location: LCFF_X15_Y19_N29 +// Location: LCFF_X30_Y15_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][23] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][23]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|acq_data_in_reg [23]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][23]~regout )); -// Location: LCFF_X16_Y19_N15 +// Location: LCFF_X42_Y18_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[29] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~29_combout ), @@ -19622,21 +18027,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [29])); -// Location: LCCOMB_X16_Y19_N28 +// Location: LCCOMB_X42_Y18_N20 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~28 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [29]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 ), + .datab(vcc), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [29]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~28_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~28 .lut_mask = 16'hF3C0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~28 .lut_mask = 16'hFA0A; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~28 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X16_Y16_N29 +// Location: LCFF_X35_Y18_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][27] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][27]~feeder_combout ), @@ -19649,7 +18054,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][27]~regout )); -// Location: LCFF_X15_Y19_N31 +// Location: LCFF_X30_Y15_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][26] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -19662,7 +18067,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][26]~regout )); -// Location: LCFF_X18_Y21_N1 +// Location: LCFF_X30_Y16_N3 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25]~feeder_combout ), @@ -19675,7 +18080,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25]~regout )); -// Location: LCFF_X34_Y14_N13 +// Location: LCFF_X32_Y12_N5 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24]~feeder_combout ), @@ -19688,7 +18093,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24]~regout )); -// Location: LCFF_X16_Y20_N17 +// Location: LCFF_X42_Y16_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[30] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~30_combout ), @@ -19701,47 +18106,47 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [30])); -// Location: LCCOMB_X16_Y19_N14 +// Location: LCCOMB_X42_Y18_N6 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~29 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [30]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 ), + .datab(vcc), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [30]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~29_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~29 .lut_mask = 16'hF3C0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~29 .lut_mask = 16'hFA0A; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~29 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X23_Y17_N31 +// Location: LCFF_X27_Y16_N17 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][28] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][28]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][28]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][28]~regout )); -// Location: LCFF_X16_Y16_N23 +// Location: LCFF_X35_Y18_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][27] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][27]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][27]~regout )); -// Location: LCFF_X15_Y19_N9 +// Location: LCFF_X30_Y15_N17 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][26] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][26]~feeder_combout ), @@ -19754,7 +18159,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][26]~regout )); -// Location: LCFF_X18_Y21_N19 +// Location: LCFF_X30_Y16_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][25] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -19767,7 +18172,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][25]~regout )); -// Location: LCFF_X16_Y20_N3 +// Location: LCFF_X42_Y16_N27 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[31] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~31_combout ), @@ -19780,34 +18185,34 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [31])); -// Location: LCCOMB_X16_Y20_N16 +// Location: LCCOMB_X42_Y16_N0 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~30 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [31]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 ), + .datab(vcc), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [31]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~30_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~30 .lut_mask = 16'hCFC0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~30 .lut_mask = 16'hFA0A; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~30 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y21_N13 +// Location: LCFF_X37_Y18_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][29] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][29]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][29]~regout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][29]~regout )); -// Location: LCFF_X23_Y17_N17 +// Location: LCFF_X27_Y16_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][28] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][28]~feeder_combout ), @@ -19820,7 +18225,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][28]~regout )); -// Location: LCFF_X16_Y16_N25 +// Location: LCFF_X35_Y18_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27]~feeder_combout ), @@ -19833,7 +18238,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27]~regout )); -// Location: LCFF_X15_Y19_N19 +// Location: LCFF_X30_Y15_N11 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26]~feeder_combout ), @@ -19846,7 +18251,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26]~regout )); -// Location: LCFF_X16_Y20_N5 +// Location: LCFF_X42_Y16_N29 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[32] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~32_combout ), @@ -19859,21 +18264,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [32])); -// Location: LCCOMB_X16_Y20_N2 +// Location: LCCOMB_X42_Y16_N26 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~31 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [32]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 ), - .datad(vcc), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 ), + .datab(vcc), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [32]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~31_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~31 .lut_mask = 16'hD8D8; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~31 .lut_mask = 16'hFA0A; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~31 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X16_Y16_N19 +// Location: LCFF_X35_Y18_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][30] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][30]~feeder_combout ), @@ -19886,20 +18291,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][30]~regout )); -// Location: LCFF_X18_Y21_N7 +// Location: LCFF_X37_Y18_N9 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][29] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][29]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][29]~regout )); -// Location: LCFF_X23_Y17_N3 +// Location: LCFF_X27_Y16_N5 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28]~feeder_combout ), @@ -19912,7 +18317,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28]~regout )); -// Location: LCFF_X16_Y16_N13 +// Location: LCFF_X35_Y18_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][27] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -19925,7 +18330,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][27]~regout )); -// Location: LCFF_X16_Y20_N15 +// Location: LCFF_X42_Y16_N31 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[33] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~33_combout ), @@ -19938,21 +18343,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [33])); -// Location: LCCOMB_X16_Y20_N4 +// Location: LCCOMB_X42_Y16_N28 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~32 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [33]), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 ), + .datab(vcc), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [33]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~32_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~32 .lut_mask = 16'hDD88; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~32 .lut_mask = 16'hFA0A; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~32 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y19_N21 +// Location: LCFF_X32_Y19_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][31] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][31]~feeder_combout ), @@ -19965,20 +18370,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][31]~regout )); -// Location: LCFF_X16_Y16_N31 +// Location: LCFF_X35_Y18_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][30] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][30]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30]~regout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][30]~regout )); -// Location: LCFF_X18_Y21_N25 +// Location: LCFF_X37_Y18_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29]~feeder_combout ), @@ -19991,7 +18396,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29]~regout )); -// Location: LCFF_X23_Y17_N13 +// Location: LCFF_X27_Y16_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][28] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -20004,7 +18409,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][28]~regout )); -// Location: LCFF_X16_Y20_N1 +// Location: LCFF_X42_Y16_N25 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[34] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~34_combout ), @@ -20017,47 +18422,47 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [34])); -// Location: LCCOMB_X16_Y20_N14 +// Location: LCCOMB_X42_Y16_N30 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~33 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [34]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [34]), + .datab(vcc), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~33_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~33 .lut_mask = 16'hCFC0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~33 .lut_mask = 16'hAFA0; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~33 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X14_Y19_N7 +// Location: LCFF_X34_Y18_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][32] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][32]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][32]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][32]~regout )); -// Location: LCFF_X20_Y19_N7 +// Location: LCFF_X32_Y19_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][31] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][31]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][31]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][31]~regout )); -// Location: LCFF_X16_Y16_N1 +// Location: LCFF_X35_Y18_N17 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30]~feeder_combout ), @@ -20070,20 +18475,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30]~regout )); -// Location: LCFF_X18_Y21_N11 +// Location: LCFF_X37_Y18_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][29] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][29]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|acq_data_in_reg [29]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][29]~regout )); -// Location: LCFF_X16_Y20_N11 +// Location: LCFF_X42_Y16_N11 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[35] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~35_combout ), @@ -20096,21 +18501,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [35])); -// Location: LCCOMB_X16_Y20_N0 +// Location: LCCOMB_X42_Y16_N24 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~34 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 ), .datab(vcc), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [35]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~34_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~34 .lut_mask = 16'hFA50; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~34 .lut_mask = 16'hFA0A; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~34 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y19_N1 +// Location: LCFF_X36_Y15_N17 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][33] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][33]~feeder_combout ), @@ -20123,20 +18528,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][33]~regout )); -// Location: LCFF_X14_Y19_N9 +// Location: LCFF_X34_Y18_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][32] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][32]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32]~regout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][32]~regout )); -// Location: LCFF_X20_Y19_N9 +// Location: LCFF_X32_Y19_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][31] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][31]~feeder_combout ), @@ -20149,7 +18554,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][31]~regout )); -// Location: LCFF_X16_Y16_N11 +// Location: LCFF_X35_Y18_N11 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30]~feeder_combout ), @@ -20162,7 +18567,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30]~regout )); -// Location: LCFF_X16_Y20_N29 +// Location: LCFF_X42_Y16_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[36] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~36_combout ), @@ -20175,34 +18580,34 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [36])); -// Location: LCCOMB_X16_Y20_N10 +// Location: LCCOMB_X42_Y16_N10 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~35 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [36]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 ), + .datab(vcc), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [36]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~35_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~35 .lut_mask = 16'hCFC0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~35 .lut_mask = 16'hFA0A; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~35 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y20_N23 +// Location: LCFF_X34_Y17_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][34] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][34]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][34]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][34]~regout )); -// Location: LCFF_X19_Y19_N11 +// Location: LCFF_X36_Y15_N27 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][33] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -20215,7 +18620,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][33]~regout )); -// Location: LCFF_X14_Y19_N3 +// Location: LCFF_X34_Y18_N17 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32]~feeder_combout ), @@ -20228,7 +18633,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32]~regout )); -// Location: LCFF_X20_Y19_N3 +// Location: LCFF_X32_Y19_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][31] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -20241,7 +18646,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][31]~regout )); -// Location: LCFF_X16_Y20_N23 +// Location: LCFF_X42_Y16_N15 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[37] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~37_combout ), @@ -20254,21 +18659,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [37])); -// Location: LCCOMB_X16_Y20_N28 +// Location: LCCOMB_X42_Y16_N12 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~36 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a36~portbdataout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [37]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a36~portbdataout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [37]), .datad(vcc), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~36_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~36 .lut_mask = 16'hCACA; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~36 .lut_mask = 16'hE4E4; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~36 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y19_N9 +// Location: LCFF_X42_Y17_N17 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][35] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][35]~feeder_combout ), @@ -20281,7 +18686,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][35]~regout )); -// Location: LCFF_X24_Y20_N9 +// Location: LCFF_X34_Y17_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][34] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][34]~feeder_combout ), @@ -20294,20 +18699,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][34]~regout )); -// Location: LCFF_X19_Y19_N21 +// Location: LCFF_X36_Y15_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][33] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][33]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][33]~regout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][33]~regout )); -// Location: LCFF_X14_Y19_N13 +// Location: LCFF_X34_Y18_N3 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32]~feeder_combout ), @@ -20320,7 +18725,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32]~regout )); -// Location: LCFF_X16_Y20_N9 +// Location: LCFF_X42_Y16_N9 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[38] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~38_combout ), @@ -20333,21 +18738,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [38])); -// Location: LCCOMB_X16_Y20_N22 +// Location: LCCOMB_X42_Y16_N14 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~37 ( - .dataa(vcc), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a37 ), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [38]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a37 ), + .datad(vcc), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~37_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~37 .lut_mask = 16'hCFC0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~37 .lut_mask = 16'hCACA; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~37 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y20_N7 +// Location: LCFF_X39_Y16_N9 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][36] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][36]~feeder_combout ), @@ -20360,20 +18765,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][36]~regout )); -// Location: LCFF_X24_Y19_N27 +// Location: LCFF_X42_Y17_N27 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][35] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][35]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][35]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][35]~regout )); -// Location: LCFF_X24_Y20_N3 +// Location: LCFF_X34_Y17_N3 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34]~feeder_combout ), @@ -20386,7 +18791,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34]~regout )); -// Location: LCFF_X19_Y19_N7 +// Location: LCFF_X36_Y15_N15 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][33] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -20399,7 +18804,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][33]~regout )); -// Location: LCFF_X16_Y20_N19 +// Location: LCFF_X42_Y16_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[39] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~39_combout ), @@ -20412,73 +18817,73 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [39])); -// Location: LCCOMB_X16_Y20_N8 +// Location: LCCOMB_X42_Y16_N8 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~38 ( .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [39]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a38 ), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a38 ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [39]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~38_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~38 .lut_mask = 16'hCFC0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~38 .lut_mask = 16'hFC0C; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~38 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y20_N1 +// Location: LCFF_X39_Y16_N11 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][37] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][37]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][37]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][37]~regout )); -// Location: LCFF_X18_Y20_N19 +// Location: LCFF_X39_Y16_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][36] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][36]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][36]~regout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][36]~regout )); -// Location: LCFF_X24_Y19_N21 +// Location: LCFF_X42_Y17_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][35] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][35]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][35]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][35]~regout )); -// Location: LCFF_X24_Y20_N13 +// Location: LCFF_X34_Y17_N13 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][34] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][34]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|acq_data_in_reg [34]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][34]~regout )); -// Location: LCFF_X16_Y20_N13 +// Location: LCFF_X42_Y16_N5 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[40] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~40_combout ), @@ -20491,47 +18896,47 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [40])); -// Location: LCCOMB_X16_Y20_N18 +// Location: LCCOMB_X42_Y16_N18 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~39 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [40]), - .datab(vcc), + .dataa(vcc), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [40]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a39 ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~39_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~39 .lut_mask = 16'hAFA0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~39 .lut_mask = 16'hCFC0; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~39 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X25_Y20_N13 +// Location: LCFF_X40_Y16_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][38] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][38]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][38]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][38]~regout )); -// Location: LCFF_X18_Y20_N5 +// Location: LCFF_X39_Y16_N31 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][37] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][37]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][37]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][37]~regout )); -// Location: LCFF_X18_Y20_N23 +// Location: LCFF_X39_Y16_N25 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][36] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][36]~feeder_combout ), @@ -20544,7 +18949,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][36]~regout )); -// Location: LCFF_X24_Y19_N15 +// Location: LCFF_X42_Y17_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][35] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -20557,7 +18962,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][35]~regout )); -// Location: LCFF_X16_Y20_N7 +// Location: LCFF_X42_Y16_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[41] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~41_combout ), @@ -20570,21 +18975,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [41])); -// Location: LCCOMB_X16_Y20_N12 +// Location: LCCOMB_X42_Y16_N4 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~40 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [41]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a40 ), .datab(vcc), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a40 ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [41]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~40_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~40 .lut_mask = 16'hAFA0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~40 .lut_mask = 16'hFA0A; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~40 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X25_Y20_N7 +// Location: LCFF_X40_Y16_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][39] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][39]~feeder_combout ), @@ -20597,7 +19002,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][39]~regout )); -// Location: LCFF_X25_Y20_N9 +// Location: LCFF_X40_Y16_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][38] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][38]~feeder_combout ), @@ -20610,33 +19015,33 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][38]~regout )); -// Location: LCFF_X18_Y20_N25 +// Location: LCFF_X39_Y16_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][37] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][37]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][37]~regout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][37]~regout )); -// Location: LCFF_X18_Y20_N3 +// Location: LCFF_X39_Y16_N29 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][36] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|acq_data_in_reg [36]), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][36]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][36]~regout )); -// Location: LCFF_X16_Y20_N25 +// Location: LCFF_X42_Y16_N17 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[42] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~42_combout ), @@ -20649,21 +19054,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [42])); -// Location: LCCOMB_X16_Y20_N6 +// Location: LCCOMB_X42_Y16_N22 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~41 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [42]), - .datab(vcc), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a41 ), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a41 ), + .datad(vcc), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~41_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~41 .lut_mask = 16'hAFA0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~41 .lut_mask = 16'hACAC; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~41 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y20_N21 +// Location: LCFF_X37_Y16_N5 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][40] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][40]~feeder_combout ), @@ -20676,7 +19081,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][40]~regout )); -// Location: LCFF_X25_Y20_N11 +// Location: LCFF_X40_Y16_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][39] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][39]~feeder_combout ), @@ -20689,7 +19094,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][39]~regout )); -// Location: LCFF_X25_Y20_N29 +// Location: LCFF_X40_Y16_N29 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][38] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -20702,7 +19107,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][38]~regout )); -// Location: LCFF_X18_Y20_N13 +// Location: LCFF_X39_Y16_N15 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][37] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][37]~feeder_combout ), @@ -20715,7 +19120,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][37]~regout )); -// Location: LCFF_X16_Y20_N27 +// Location: LCFF_X42_Y16_N3 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[43] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~43_combout ), @@ -20728,21 +19133,21 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [43])); -// Location: LCCOMB_X16_Y20_N24 +// Location: LCCOMB_X42_Y16_N16 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~42 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [43]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a42 ), - .datad(vcc), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a42 ), + .datab(vcc), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [43]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~42_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~42 .lut_mask = 16'hD8D8; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~42 .lut_mask = 16'hFA0A; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~42 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X16_Y21_N11 +// Location: LCFF_X37_Y16_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][41] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][41]~feeder_combout ), @@ -20755,7 +19160,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][41]~regout )); -// Location: LCFF_X19_Y20_N7 +// Location: LCFF_X37_Y16_N1 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][40] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][40]~feeder_combout ), @@ -20768,7 +19173,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][40]~regout )); -// Location: LCFF_X25_Y20_N31 +// Location: LCFF_X40_Y16_N31 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][39] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][39]~feeder_combout ), @@ -20781,20 +19186,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][39]~regout )); -// Location: LCFF_X25_Y20_N25 +// Location: LCFF_X40_Y16_N9 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][38] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][38]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|acq_data_in_reg [38]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][38]~regout )); -// Location: LCFF_X16_Y20_N21 +// Location: LCFF_X42_Y16_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[44] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~44_combout ), @@ -20807,7 +19212,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [44])); -// Location: LCCOMB_X16_Y20_N26 +// Location: LCCOMB_X42_Y16_N2 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~43 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [44]), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a43 ), @@ -20821,7 +19226,7 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_de defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~43 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y20_N9 +// Location: LCFF_X39_Y16_N17 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][42] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][42]~feeder_combout ), @@ -20834,7 +19239,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][42]~regout )); -// Location: LCFF_X16_Y21_N13 +// Location: LCFF_X37_Y16_N11 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][41] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][41]~feeder_combout ), @@ -20847,20 +19252,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][41]~regout )); -// Location: LCFF_X19_Y20_N11 +// Location: LCFF_X37_Y16_N29 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][40] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][40]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][40]~regout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][40]~regout )); -// Location: LCFF_X25_Y20_N19 +// Location: LCFF_X40_Y16_N11 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][39] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][39]~feeder_combout ), @@ -20873,7 +19278,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][39]~regout )); -// Location: LCFF_X16_Y20_N31 +// Location: LCFF_X42_Y16_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[45] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~45_combout ), @@ -20886,34 +19291,34 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [45])); -// Location: LCCOMB_X16_Y20_N20 +// Location: LCCOMB_X42_Y16_N20 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~44 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [45]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a44 ), + .datab(vcc), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a44 ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [45]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~44_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~44 .lut_mask = 16'hCFC0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~44 .lut_mask = 16'hFA0A; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~44 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X14_Y20_N19 +// Location: LCFF_X25_Y19_N25 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][43] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][43]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][43]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][43]~regout )); -// Location: LCFF_X19_Y20_N29 +// Location: LCFF_X39_Y16_N3 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][42] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -20926,47 +19331,47 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][42]~regout )); -// Location: LCFF_X16_Y21_N31 +// Location: LCFF_X37_Y16_N7 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][41] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][41]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][41]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][41]~regout )); -// Location: LCFF_X19_Y20_N31 +// Location: LCFF_X37_Y16_N9 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][40] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][40]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|acq_data_in_reg [40]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][40]~regout )); -// Location: LCCOMB_X16_Y20_N30 +// Location: LCCOMB_X42_Y16_N6 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~45 ( - .dataa(vcc), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a45 ), .datab(vcc), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a45 ), + .datad(vcc), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~45_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~45 .lut_mask = 16'hFFF0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~45 .lut_mask = 16'hFAFA; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~45 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y20_N31 +// Location: LCFF_X27_Y18_N31 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][44] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), @@ -20979,20 +19384,20 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][44]~regout )); -// Location: LCFF_X14_Y20_N21 +// Location: LCFF_X25_Y19_N27 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][43] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][43]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][43]~regout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][43]~regout )); -// Location: LCFF_X19_Y20_N17 +// Location: LCFF_X39_Y16_N21 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][42] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][42]~feeder_combout ), @@ -21005,7 +19410,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][42]~regout )); -// Location: LCFF_X16_Y21_N9 +// Location: LCFF_X37_Y16_N3 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][41] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][41]~feeder_combout ), @@ -21018,7 +19423,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][41]~regout )); -// Location: LCFF_X15_Y21_N13 +// Location: LCFF_X26_Y19_N9 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][45] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][45]~feeder_combout ), @@ -21031,7 +19436,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][45]~regout )); -// Location: LCFF_X18_Y20_N9 +// Location: LCFF_X27_Y18_N9 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][44] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][44]~feeder_combout ), @@ -21044,7 +19449,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][44]~regout )); -// Location: LCFF_X14_Y20_N23 +// Location: LCFF_X25_Y19_N5 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][43] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][43]~feeder_combout ), @@ -21057,72 +19462,72 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][43]~regout )); -// Location: LCFF_X19_Y20_N3 +// Location: LCFF_X39_Y16_N23 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][42] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][42]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|acq_data_in_reg [42]), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][42]~regout )); -// Location: LCFF_X15_Y21_N31 +// Location: LCFF_X26_Y19_N27 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][45] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][45]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][45]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][45]~regout )); -// Location: LCFF_X18_Y20_N27 +// Location: LCFF_X27_Y18_N19 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][44] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][44]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][44]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][44]~regout )); -// Location: LCFF_X14_Y20_N1 +// Location: LCFF_X25_Y19_N31 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][43] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|acq_data_in_reg [43]), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][43]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][43]~regout )); -// Location: LCFF_X15_Y21_N25 +// Location: LCFF_X26_Y19_N29 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][45] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][45]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][45]~regout ), .aclr(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][45]~regout )); -// Location: LCFF_X18_Y20_N21 +// Location: LCFF_X27_Y18_N29 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][44] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][44]~feeder_combout ), @@ -21135,7 +19540,7 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][44]~regout )); -// Location: LCFF_X15_Y21_N3 +// Location: LCFF_X26_Y19_N15 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][45] ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][45]~feeder_combout ), @@ -21148,54 +19553,54 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_d .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][45]~regout )); -// Location: LCCOMB_X14_Y15_N30 +// Location: LCCOMB_X16_Y16_N6 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~10 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[3]~8_combout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[3]~8_combout ), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~10_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~10 .lut_mask = 16'h020A; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~10 .lut_mask = 16'h040C; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~10 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y15_N24 +// Location: LCCOMB_X18_Y17_N18 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[3]~11 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|clear_signal~combout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|clear_signal~combout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[3]~11_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[3]~11 .lut_mask = 16'h5955; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[3]~11 .lut_mask = 16'h4B0F; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[3]~11 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y15_N26 +// Location: LCCOMB_X16_Y16_N18 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~12 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[3]~8_combout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[3]~8_combout ), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|Add0~0_combout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~12_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~12 .lut_mask = 16'h20A0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~12 .lut_mask = 16'h40C0; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~12 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y15_N22 +// Location: LCCOMB_X16_Y16_N22 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~13 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[3]~8_combout ), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|Add0~1_combout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~13_combout ), .cout()); @@ -21204,35 +19609,35 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~13 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y15_N2 +// Location: LCCOMB_X18_Y17_N20 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~7 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~6_combout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~6_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~7_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~7 .lut_mask = 16'hA800; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~7 .lut_mask = 16'hC080; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~7 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y15_N4 +// Location: LCCOMB_X18_Y17_N14 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[0]~20 ( .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena[1]~reg0_regout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[0]~20_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[0]~20 .lut_mask = 16'hE2C0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[0]~20 .lut_mask = 16'hCAC0; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[0]~20 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X22_Y19_N2 +// Location: LCCOMB_X23_Y17_N12 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|p_match_out~0 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [0]), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [2]), @@ -21246,7 +19651,7 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|bas defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X23_Y19_N2 +// Location: LCCOMB_X23_Y17_N22 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|p_match_out~1 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|p_match_out~0_combout ), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [2]), @@ -21260,24 +19665,24 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|bas defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X23_Y19_N16 +// Location: LCCOMB_X23_Y17_N28 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [3]), + .dataa(\auto_signaltap_0|acq_trigger_in_reg [1]), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [5]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [4]), - .datad(\auto_signaltap_0|acq_trigger_in_reg [1]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [3]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|p_match_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|p_match_out~0 .lut_mask = 16'hF1AB; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|p_match_out~0 .lut_mask = 16'hF5A3; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X23_Y19_N12 +// Location: LCCOMB_X23_Y17_N2 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|holdff~regout ), - .datab(\auto_signaltap_0|acq_trigger_in_reg [1]), + .dataa(\auto_signaltap_0|acq_trigger_in_reg [1]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|holdff~regout ), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [5]), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|p_match_out~0_combout ), .cin(gnd), @@ -21288,54 +19693,54 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|bas defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X23_Y19_N26 +// Location: LCCOMB_X23_Y17_N24 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [8]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [6]), + .dataa(\auto_signaltap_0|acq_trigger_in_reg [2]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [8]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [7]), - .datad(\auto_signaltap_0|acq_trigger_in_reg [2]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [6]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|p_match_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|p_match_out~0 .lut_mask = 16'hF1CD; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|p_match_out~0 .lut_mask = 16'hF5A3; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X23_Y19_N0 +// Location: LCCOMB_X23_Y17_N6 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [8]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|p_match_out~0_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|holdff~regout ), - .datad(\auto_signaltap_0|acq_trigger_in_reg [2]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|p_match_out~0_combout ), + .datab(\auto_signaltap_0|acq_trigger_in_reg [2]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [8]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|holdff~regout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|p_match_out~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|p_match_out~1 .lut_mask = 16'h4CC4; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|p_match_out~1 .lut_mask = 16'h2A8A; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y18_N22 +// Location: LCCOMB_X31_Y17_N6 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|acq_trigger_in_reg [3]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [9]), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [11]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [10]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [9]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [3]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|p_match_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|p_match_out~0 .lut_mask = 16'hF5A3; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|p_match_out~0 .lut_mask = 16'hF1AB; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y18_N16 +// Location: LCCOMB_X31_Y17_N28 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|p_match_out~1 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|p_match_out~0_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|holdff~regout ), + .datab(\auto_signaltap_0|acq_trigger_in_reg [3]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [11]), - .datad(\auto_signaltap_0|acq_trigger_in_reg [3]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|holdff~regout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|p_match_out~1_combout ), .cout()); @@ -21344,147 +19749,147 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|bas defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y18_N28 +// Location: LCCOMB_X31_Y17_N0 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|acq_trigger_in_reg [4]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [14]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [14]), + .datab(\auto_signaltap_0|acq_trigger_in_reg [4]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [13]), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [12]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|p_match_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|p_match_out~0 .lut_mask = 16'hF5A3; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|p_match_out~0 .lut_mask = 16'hF3C5; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y18_N18 +// Location: LCCOMB_X31_Y17_N2 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|p_match_out~0_combout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|holdff~regout ), .datab(\auto_signaltap_0|acq_trigger_in_reg [4]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [14]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|holdff~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|p_match_out~0_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|p_match_out~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|p_match_out~1 .lut_mask = 16'h2A8A; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|p_match_out~1 .lut_mask = 16'h6F00; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y18_N14 +// Location: LCCOMB_X31_Y17_N24 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [17]), - .datab(\auto_signaltap_0|acq_trigger_in_reg [5]), + .dataa(\auto_signaltap_0|acq_trigger_in_reg [5]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [17]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [16]), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [15]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|p_match_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|p_match_out~0 .lut_mask = 16'hF3C5; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|p_match_out~0 .lut_mask = 16'hF5A3; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y18_N12 +// Location: LCCOMB_X31_Y17_N20 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [17]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|holdff~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|p_match_out~0_combout ), - .datad(\auto_signaltap_0|acq_trigger_in_reg [5]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|p_match_out~0_combout ), + .datab(\auto_signaltap_0|acq_trigger_in_reg [5]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [17]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|holdff~regout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|p_match_out~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|p_match_out~1 .lut_mask = 16'h70D0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|p_match_out~1 .lut_mask = 16'h2A8A; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y21_N28 +// Location: LCCOMB_X32_Y18_N16 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [20]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [18]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [18]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [20]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [19]), .datad(\auto_signaltap_0|acq_trigger_in_reg [6]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|p_match_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|p_match_out~0 .lut_mask = 16'hF1CD; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|p_match_out~0 .lut_mask = 16'hF1AB; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y21_N4 +// Location: LCCOMB_X32_Y18_N20 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|acq_trigger_in_reg [6]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|p_match_out~0_combout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|p_match_out~0_combout ), + .datab(\auto_signaltap_0|acq_trigger_in_reg [6]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [20]), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|holdff~regout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|p_match_out~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|p_match_out~1 .lut_mask = 16'h4C8C; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|p_match_out~1 .lut_mask = 16'h2A8A; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y21_N10 +// Location: LCCOMB_X32_Y18_N24 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [23]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [21]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [21]), + .datab(\auto_signaltap_0|acq_trigger_in_reg [7]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [22]), - .datad(\auto_signaltap_0|acq_trigger_in_reg [7]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [23]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|p_match_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|p_match_out~0 .lut_mask = 16'hF1CD; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|p_match_out~0 .lut_mask = 16'hE2E7; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y21_N2 +// Location: LCCOMB_X32_Y18_N8 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [23]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|holdff~regout ), - .datac(\auto_signaltap_0|acq_trigger_in_reg [7]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|p_match_out~0_combout ), + .dataa(\auto_signaltap_0|acq_trigger_in_reg [7]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|p_match_out~0_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [23]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|holdff~regout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|p_match_out~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|p_match_out~1 .lut_mask = 16'h7D00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|p_match_out~1 .lut_mask = 16'h4C8C; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y21_N12 +// Location: LCCOMB_X32_Y18_N6 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [26]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [24]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [24]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [26]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [25]), .datad(\auto_signaltap_0|acq_trigger_in_reg [8]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|p_match_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|p_match_out~0 .lut_mask = 16'hF1CD; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|p_match_out~0 .lut_mask = 16'hF1AB; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y21_N14 +// Location: LCCOMB_X32_Y18_N0 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|p_match_out~0_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|holdff~regout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|holdff~regout ), + .datab(\auto_signaltap_0|acq_trigger_in_reg [8]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [26]), - .datad(\auto_signaltap_0|acq_trigger_in_reg [8]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|p_match_out~0_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|p_match_out~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|p_match_out~1 .lut_mask = 16'h2A8A; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|p_match_out~1 .lut_mask = 16'h6F00; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y21_N28 +// Location: LCCOMB_X31_Y18_N26 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|p_match_out~0 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [29]), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [27]), @@ -21498,77 +19903,77 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|bas defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y21_N18 +// Location: LCCOMB_X31_Y18_N18 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|holdff~regout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|p_match_out~0_combout ), + .dataa(\auto_signaltap_0|acq_trigger_in_reg [9]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|holdff~regout ), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [29]), - .datad(\auto_signaltap_0|acq_trigger_in_reg [9]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|p_match_out~0_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|p_match_out~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|p_match_out~1 .lut_mask = 16'h4C8C; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|p_match_out~1 .lut_mask = 16'h6F00; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y21_N24 +// Location: LCCOMB_X31_Y18_N6 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [32]), - .datab(\auto_signaltap_0|acq_trigger_in_reg [10]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [30]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [32]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [31]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [30]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [10]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|p_match_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|p_match_out~0 .lut_mask = 16'hF3C5; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|p_match_out~0 .lut_mask = 16'hF1AB; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y21_N10 +// Location: LCCOMB_X31_Y18_N8 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|p_match_out~1 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|holdff~regout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [32]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|p_match_out~0_combout ), - .datad(\auto_signaltap_0|acq_trigger_in_reg [10]), + .datab(\auto_signaltap_0|acq_trigger_in_reg [10]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [32]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|p_match_out~0_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|p_match_out~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|p_match_out~1 .lut_mask = 16'h70B0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|p_match_out~1 .lut_mask = 16'h6F00; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y21_N12 +// Location: LCCOMB_X31_Y18_N24 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [35]), - .datab(\auto_signaltap_0|acq_trigger_in_reg [11]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [33]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [35]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [34]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [33]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [11]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|p_match_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|p_match_out~0 .lut_mask = 16'hF3C5; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|p_match_out~0 .lut_mask = 16'hF1AB; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y21_N14 +// Location: LCCOMB_X31_Y18_N20 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [35]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|p_match_out~0_combout ), - .datac(\auto_signaltap_0|acq_trigger_in_reg [11]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|holdff~regout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|p_match_out~0_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|holdff~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [35]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [11]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|p_match_out~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|p_match_out~1 .lut_mask = 16'h4CC4; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|p_match_out~1 .lut_mask = 16'h2A8A; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y21_N4 +// Location: LCCOMB_X26_Y18_N4 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|p_match_out~0 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [38]), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [36]), @@ -21582,77 +19987,77 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|bas defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y21_N28 +// Location: LCCOMB_X26_Y18_N14 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|p_match_out~1 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [38]), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|holdff~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|p_match_out~0_combout ), - .datad(\auto_signaltap_0|acq_trigger_in_reg [12]), + .datac(\auto_signaltap_0|acq_trigger_in_reg [12]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|p_match_out~0_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|p_match_out~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|p_match_out~1 .lut_mask = 16'h70D0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|p_match_out~1 .lut_mask = 16'h7D00; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y21_N0 +// Location: LCCOMB_X26_Y18_N28 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [41]), - .datab(\auto_signaltap_0|acq_trigger_in_reg [13]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [39]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [41]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [40]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [39]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [13]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|p_match_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|p_match_out~0 .lut_mask = 16'hF3C5; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|p_match_out~0 .lut_mask = 16'hF1AB; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y21_N14 +// Location: LCCOMB_X26_Y18_N12 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|p_match_out~0_combout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|holdff~regout ), .datab(\auto_signaltap_0|acq_trigger_in_reg [13]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [41]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|holdff~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|p_match_out~0_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|p_match_out~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|p_match_out~1 .lut_mask = 16'h2A8A; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|p_match_out~1 .lut_mask = 16'h6F00; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y21_N6 +// Location: LCCOMB_X25_Y18_N28 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|acq_trigger_in_reg [14]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [44]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [44]), + .datab(\auto_signaltap_0|acq_trigger_in_reg [14]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [43]), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [42]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|p_match_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|p_match_out~0 .lut_mask = 16'hF5A3; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|p_match_out~0 .lut_mask = 16'hF3C5; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y21_N18 +// Location: LCCOMB_X25_Y18_N14 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|p_match_out~0_combout ), - .datab(\auto_signaltap_0|acq_trigger_in_reg [14]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [44]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|holdff~regout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [44]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|p_match_out~0_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|holdff~regout ), + .datad(\auto_signaltap_0|acq_trigger_in_reg [14]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|p_match_out~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|p_match_out~1 .lut_mask = 16'h2A8A; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|p_match_out~1 .lut_mask = 16'h4CC4; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y19_N18 +// Location: LCCOMB_X24_Y18_N14 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|p_match_out~0 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [45]), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [47]), @@ -21666,21 +20071,21 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|bas defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y19_N6 +// Location: LCCOMB_X24_Y18_N10 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|p_match_out~1 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|holdff~regout ), - .datab(\auto_signaltap_0|acq_trigger_in_reg [15]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|p_match_out~0_combout ), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [47]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|p_match_out~0_combout ), + .datad(\auto_signaltap_0|acq_trigger_in_reg [15]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|p_match_out~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|p_match_out~1 .lut_mask = 16'h6F00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|p_match_out~1 .lut_mask = 16'h4C8C; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y19_N16 +// Location: LCCOMB_X24_Y18_N20 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:16:sm1|p_match_out~0 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [48]), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [50]), @@ -21694,7 +20099,7 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|bas defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:16:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y19_N28 +// Location: LCCOMB_X24_Y18_N24 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:16:sm1|p_match_out~1 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:16:sm1|p_match_out~0_combout ), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:16:sm1|holdff~regout ), @@ -21708,7 +20113,7 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|bas defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:16:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y19_N14 +// Location: LCCOMB_X24_Y18_N0 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|p_match_out~0 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [53]), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [51]), @@ -21722,49 +20127,49 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|bas defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y19_N30 +// Location: LCCOMB_X24_Y18_N18 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|holdff~regout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|p_match_out~0_combout ), + .dataa(\auto_signaltap_0|acq_trigger_in_reg [17]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|holdff~regout ), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [53]), - .datad(\auto_signaltap_0|acq_trigger_in_reg [17]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|p_match_out~0_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|p_match_out~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|p_match_out~1 .lut_mask = 16'h4C8C; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|p_match_out~1 .lut_mask = 16'h6F00; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y18_N6 +// Location: LCCOMB_X23_Y18_N12 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:18:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|acq_trigger_in_reg [18]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [56]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [56]), + .datab(\auto_signaltap_0|acq_trigger_in_reg [18]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [55]), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [54]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:18:sm1|p_match_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:18:sm1|p_match_out~0 .lut_mask = 16'hF5A3; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:18:sm1|p_match_out~0 .lut_mask = 16'hF3C5; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:18:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y18_N0 +// Location: LCCOMB_X23_Y18_N18 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:18:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|acq_trigger_in_reg [18]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:18:sm1|holdff~regout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:18:sm1|p_match_out~0_combout ), + .datab(\auto_signaltap_0|acq_trigger_in_reg [18]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [56]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:18:sm1|p_match_out~0_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:18:sm1|holdff~regout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:18:sm1|p_match_out~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:18:sm1|p_match_out~1 .lut_mask = 16'h6F00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:18:sm1|p_match_out~1 .lut_mask = 16'h2A8A; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:18:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y18_N26 +// Location: LCCOMB_X25_Y17_N4 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|p_match_out~0 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [57]), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [59]), @@ -21778,180 +20183,40 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|bas defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y18_N30 +// Location: LCCOMB_X25_Y17_N6 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|holdff~regout ), - .datab(\auto_signaltap_0|acq_trigger_in_reg [19]), + .dataa(\auto_signaltap_0|acq_trigger_in_reg [19]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|p_match_out~0_combout ), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [59]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|p_match_out~0_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|holdff~regout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|p_match_out~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|p_match_out~1 .lut_mask = 16'h6F00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|p_match_out~1 .lut_mask = 16'h4C8C; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y18_N18 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [62]), - .datab(\auto_signaltap_0|acq_trigger_in_reg [20]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [61]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [60]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~0 .lut_mask = 16'hF3C5; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X12_Y18_N10 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|acq_trigger_in_reg [20]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|holdff~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [62]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~0_combout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~1 .lut_mask = 16'h6F00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~1 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X13_Y18_N26 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|acq_trigger_in_reg [21]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [65]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [64]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [63]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~0 .lut_mask = 16'hF5A3; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X13_Y18_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~0_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [65]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|holdff~regout ), - .datad(\auto_signaltap_0|acq_trigger_in_reg [21]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~1 .lut_mask = 16'h2AA2; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~1 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X13_Y18_N18 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [68]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [66]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [67]), - .datad(\auto_signaltap_0|acq_trigger_in_reg [22]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~0 .lut_mask = 16'hF1CD; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X13_Y18_N22 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~0_combout ), - .datab(\auto_signaltap_0|acq_trigger_in_reg [22]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [68]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|holdff~regout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~1 .lut_mask = 16'h2A8A; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~1 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X13_Y18_N10 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [71]), - .datab(\auto_signaltap_0|acq_trigger_in_reg [23]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [70]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [69]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~0 .lut_mask = 16'hF3C5; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X13_Y18_N30 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|holdff~regout ), - .datab(\auto_signaltap_0|acq_trigger_in_reg [23]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [71]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~0_combout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~1 .lut_mask = 16'h6F00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~1 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X14_Y18_N30 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|acq_trigger_in_reg [24]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [74]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [73]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [72]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~0 .lut_mask = 16'hF5A3; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X14_Y18_N28 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|acq_trigger_in_reg [24]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~0_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [74]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|holdff~regout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~1 .lut_mask = 16'h4C8C; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~1 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X14_Y18_N2 +// Location: LCCOMB_X29_Y16_N26 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [75]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [77]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [77]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [75]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [76]), .datad(\auto_signaltap_0|acq_trigger_in_reg [25]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|p_match_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|p_match_out~0 .lut_mask = 16'hF1AB; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|p_match_out~0 .lut_mask = 16'hF1CD; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y18_N12 +// Location: LCCOMB_X29_Y16_N28 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|holdff~regout ), + .dataa(\auto_signaltap_0|acq_trigger_in_reg [25]), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|p_match_out~0_combout ), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [77]), - .datad(\auto_signaltap_0|acq_trigger_in_reg [25]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|holdff~regout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|p_match_out~1_combout ), .cout()); @@ -21960,35 +20225,7 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|bas defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y18_N26 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [80]), - .datab(\auto_signaltap_0|acq_trigger_in_reg [26]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [79]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [78]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~0 .lut_mask = 16'hF3C5; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X14_Y18_N6 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|acq_trigger_in_reg [26]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~0_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [80]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|holdff~regout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~1 .lut_mask = 16'h4C8C; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~1 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X22_Y17_N28 +// Location: LCCOMB_X29_Y16_N12 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|p_match_out~0 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [81]), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [83]), @@ -22002,175 +20239,119 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|bas defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X22_Y17_N22 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff~regout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [83]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|p_match_out~0_combout ), - .datad(\auto_signaltap_0|acq_trigger_in_reg [27]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|p_match_out~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|p_match_out~1 .lut_mask = 16'h70B0; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|p_match_out~1 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X22_Y17_N2 +// Location: LCCOMB_X27_Y16_N26 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|acq_trigger_in_reg [28]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [86]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [86]), + .datab(\auto_signaltap_0|acq_trigger_in_reg [28]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [85]), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [84]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|p_match_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|p_match_out~0 .lut_mask = 16'hF5A3; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|p_match_out~0 .lut_mask = 16'hF3C5; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X22_Y17_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|holdff~regout ), - .datab(\auto_signaltap_0|acq_trigger_in_reg [28]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [86]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|p_match_out~0_combout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|p_match_out~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|p_match_out~1 .lut_mask = 16'h6F00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|p_match_out~1 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X22_Y17_N30 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [89]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [87]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [88]), - .datad(\auto_signaltap_0|acq_trigger_in_reg [29]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~0 .lut_mask = 16'hF1CD; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X22_Y17_N6 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~0_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [89]), - .datad(\auto_signaltap_0|acq_trigger_in_reg [29]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~1 .lut_mask = 16'h2A8A; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~1 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X21_Y20_N2 +// Location: LCCOMB_X27_Y17_N6 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [92]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [90]), + .dataa(\auto_signaltap_0|acq_trigger_in_reg [30]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [92]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [91]), - .datad(\auto_signaltap_0|acq_trigger_in_reg [30]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [90]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|p_match_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|p_match_out~0 .lut_mask = 16'hF1CD; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|p_match_out~0 .lut_mask = 16'hF5A3; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y20_N26 +// Location: LCCOMB_X27_Y17_N10 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|holdff~regout ), - .datab(\auto_signaltap_0|acq_trigger_in_reg [30]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [92]), + .dataa(\auto_signaltap_0|acq_trigger_in_reg [30]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [92]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|holdff~regout ), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|p_match_out~0_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|p_match_out~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|p_match_out~1 .lut_mask = 16'h6F00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|p_match_out~1 .lut_mask = 16'h7B00; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y20_N10 +// Location: LCCOMB_X29_Y17_N22 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|acq_trigger_in_reg [31]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [93]), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [95]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [94]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [93]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [31]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|p_match_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|p_match_out~0 .lut_mask = 16'hF5A3; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|p_match_out~0 .lut_mask = 16'hF1AB; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y20_N6 +// Location: LCCOMB_X29_Y17_N24 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|p_match_out~0_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|holdff~regout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|holdff~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|p_match_out~0_combout ), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [95]), .datad(\auto_signaltap_0|acq_trigger_in_reg [31]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|p_match_out~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|p_match_out~1 .lut_mask = 16'h2A8A; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|p_match_out~1 .lut_mask = 16'h4C8C; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y20_N18 +// Location: LCCOMB_X29_Y17_N6 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [98]), - .datab(\auto_signaltap_0|acq_trigger_in_reg [32]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [96]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [98]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [97]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [96]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [32]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|p_match_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|p_match_out~0 .lut_mask = 16'hF3C5; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|p_match_out~0 .lut_mask = 16'hF1AB; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y20_N16 +// Location: LCCOMB_X29_Y17_N26 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [98]), - .datab(\auto_signaltap_0|acq_trigger_in_reg [32]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|p_match_out~0_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|holdff~regout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|p_match_out~0_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [98]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|holdff~regout ), + .datad(\auto_signaltap_0|acq_trigger_in_reg [32]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|p_match_out~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|p_match_out~1 .lut_mask = 16'h70D0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|p_match_out~1 .lut_mask = 16'h2AA2; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y20_N0 +// Location: LCCOMB_X29_Y17_N0 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|acq_trigger_in_reg [33]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [99]), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [101]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [100]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [99]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [33]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|p_match_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|p_match_out~0 .lut_mask = 16'hF5A3; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|p_match_out~0 .lut_mask = 16'hF1AB; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y20_N22 +// Location: LCCOMB_X29_Y17_N28 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|p_match_out~1 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|holdff~regout ), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|p_match_out~0_combout ), @@ -22184,7 +20365,7 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|bas defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X23_Y20_N2 +// Location: LCCOMB_X33_Y17_N0 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|p_match_out~0 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [102]), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [104]), @@ -22198,7 +20379,7 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|bas defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X23_Y20_N16 +// Location: LCCOMB_X33_Y17_N16 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|p_match_out~1 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|holdff~regout ), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|p_match_out~0_combout ), @@ -22212,26 +20393,26 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|bas defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X23_Y20_N20 +// Location: LCCOMB_X33_Y17_N30 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [107]), - .datab(\auto_signaltap_0|acq_trigger_in_reg [35]), + .dataa(\auto_signaltap_0|acq_trigger_in_reg [35]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [107]), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [106]), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [105]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|p_match_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|p_match_out~0 .lut_mask = 16'hF3C5; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|p_match_out~0 .lut_mask = 16'hF5A3; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X23_Y20_N4 +// Location: LCCOMB_X33_Y17_N10 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|p_match_out~1 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|p_match_out~0_combout ), - .datab(\auto_signaltap_0|acq_trigger_in_reg [35]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|holdff~regout ), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [107]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|holdff~regout ), + .datad(\auto_signaltap_0|acq_trigger_in_reg [35]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|p_match_out~1_combout ), .cout()); @@ -22240,203 +20421,49 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|bas defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X23_Y20_N28 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|acq_trigger_in_reg [36]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [110]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [109]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [108]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~0 .lut_mask = 16'hF5A3; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X23_Y20_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|acq_trigger_in_reg [36]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [110]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~0_combout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~1 .lut_mask = 16'h6F00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~1 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X22_Y20_N10 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [113]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [111]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [112]), - .datad(\auto_signaltap_0|acq_trigger_in_reg [37]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~0 .lut_mask = 16'hF1CD; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X22_Y20_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|holdff~regout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~0_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [113]), - .datad(\auto_signaltap_0|acq_trigger_in_reg [37]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~1 .lut_mask = 16'h4C8C; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~1 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X22_Y20_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [116]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [115]), - .datac(\auto_signaltap_0|acq_trigger_in_reg [38]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [114]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~0 .lut_mask = 16'hCFD1; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X22_Y20_N18 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [116]), - .datab(\auto_signaltap_0|acq_trigger_in_reg [38]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|holdff~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~0_combout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~1 .lut_mask = 16'h7D00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~1 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X22_Y20_N26 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [119]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [118]), - .datac(\auto_signaltap_0|acq_trigger_in_reg [39]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [117]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~0 .lut_mask = 16'hCFD1; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X22_Y20_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [119]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~0_combout ), - .datad(\auto_signaltap_0|acq_trigger_in_reg [39]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~1 .lut_mask = 16'h70D0; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~1 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X21_Y20_N22 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [122]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [121]), - .datac(\auto_signaltap_0|acq_trigger_in_reg [40]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [120]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~0 .lut_mask = 16'hCFD1; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X21_Y20_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [122]), - .datab(\auto_signaltap_0|acq_trigger_in_reg [40]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~0_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|holdff~regout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~1 .lut_mask = 16'h70D0; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~1 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X22_Y21_N26 +// Location: LCCOMB_X26_Y16_N24 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [124]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [125]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [123]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [124]), .datac(\auto_signaltap_0|acq_trigger_in_reg [41]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [123]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [125]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|p_match_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|p_match_out~0 .lut_mask = 16'hAFB1; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|p_match_out~0 .lut_mask = 16'hCADB; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X22_Y21_N16 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff~regout ), - .datab(\auto_signaltap_0|acq_trigger_in_reg [41]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [125]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|p_match_out~0_combout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|p_match_out~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|p_match_out~1 .lut_mask = 16'h6F00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|p_match_out~1 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X22_Y21_N28 +// Location: LCCOMB_X26_Y16_N10 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [126]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [128]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [128]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [126]), .datac(\auto_signaltap_0|acq_trigger_in_reg [42]), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [127]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|p_match_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|p_match_out~0 .lut_mask = 16'hFA1B; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|p_match_out~0 .lut_mask = 16'hFC1D; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X22_Y21_N30 +// Location: LCCOMB_X26_Y16_N12 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|p_match_out~1 ( .dataa(\auto_signaltap_0|acq_trigger_in_reg [42]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|holdff~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [128]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|p_match_out~0_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|p_match_out~0_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|holdff~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [128]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|p_match_out~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|p_match_out~1 .lut_mask = 16'h6F00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|p_match_out~1 .lut_mask = 16'h48CC; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X22_Y19_N0 +// Location: LCCOMB_X25_Y18_N10 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|p_match_out~0 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [130]), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [131]), @@ -22450,91 +20477,35 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|bas defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X22_Y19_N30 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|p_match_out~0_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [131]), - .datad(\auto_signaltap_0|acq_trigger_in_reg [43]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|p_match_out~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|p_match_out~1 .lut_mask = 16'h2A8A; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|p_match_out~1 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X22_Y19_N26 +// Location: LCCOMB_X26_Y17_N0 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [134]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [133]), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [133]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [134]), .datac(\auto_signaltap_0|acq_trigger_in_reg [44]), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [132]), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|p_match_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|p_match_out~0 .lut_mask = 16'hCFD1; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|p_match_out~0 .lut_mask = 16'hAFB1; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X22_Y19_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|holdff~regout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|p_match_out~0_combout ), - .datac(\auto_signaltap_0|acq_trigger_in_reg [44]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [134]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|p_match_out~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|p_match_out~1 .lut_mask = 16'h48CC; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|p_match_out~1 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X20_Y19_N28 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [136]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [135]), - .datac(\auto_signaltap_0|acq_trigger_in_reg [45]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [137]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~0 .lut_mask = 16'hACBD; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X20_Y19_N22 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [137]), - .datab(\auto_signaltap_0|acq_trigger_in_reg [45]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~0_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff~regout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~1 .lut_mask = 16'h70D0; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~1 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X20_Y16_N12 +// Location: LCCOMB_X20_Y17_N14 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~0 ( .dataa(vcc), .datab(vcc), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done~regout ), - .datad(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done~regout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~0 .lut_mask = 16'h0F0F; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~0 .lut_mask = 16'h00FF; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: CLKCTRL_G0 +// Location: CLKCTRL_G1 cycloneii_clkctrl \altera_internal_jtag~TCKUTAPclkctrl ( .ena(vcc), .inclk({gnd,gnd,gnd,\altera_internal_jtag~TCKUTAP }), @@ -22547,7 +20518,7 @@ defparam \altera_internal_jtag~TCKUTAPclkctrl .clock_type = "global clock"; defparam \altera_internal_jtag~TCKUTAPclkctrl .ena_register_mode = "none"; // synopsys translate_on -// Location: LCCOMB_X25_Y20_N0 +// Location: LCCOMB_X40_Y16_N24 cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[38]~feeder ( // Equation(s): // \auto_signaltap_0|acq_data_in_reg[38]~feeder_combout = \inst|inst4|inst115|auto_generated|safe_q [5] @@ -22564,58 +20535,7 @@ defparam \auto_signaltap_0|acq_data_in_reg[38]~feeder .lut_mask = 16'hFF00; defparam \auto_signaltap_0|acq_data_in_reg[38]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X22_Y21_N8 -cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[37]~feeder ( -// Equation(s): -// \auto_signaltap_0|acq_trigger_in_reg[37]~feeder_combout = \inst|inst4|inst115|auto_generated|safe_q [4] - - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\inst|inst4|inst115|auto_generated|safe_q [4]), - .cin(gnd), - .combout(\auto_signaltap_0|acq_trigger_in_reg[37]~feeder_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|acq_trigger_in_reg[37]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_trigger_in_reg[37]~feeder .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X18_Y20_N10 -cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[37]~feeder ( -// Equation(s): -// \auto_signaltap_0|acq_data_in_reg[37]~feeder_combout = \inst|inst4|inst115|auto_generated|safe_q [4] - - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\inst|inst4|inst115|auto_generated|safe_q [4]), - .cin(gnd), - .combout(\auto_signaltap_0|acq_data_in_reg[37]~feeder_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|acq_data_in_reg[37]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_data_in_reg[37]~feeder .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X23_Y20_N30 -cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[35]~feeder ( -// Equation(s): -// \auto_signaltap_0|acq_trigger_in_reg[35]~feeder_combout = \inst|inst4|inst115|auto_generated|safe_q [2] - - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\inst|inst4|inst115|auto_generated|safe_q [2]), - .cin(gnd), - .combout(\auto_signaltap_0|acq_trigger_in_reg[35]~feeder_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|acq_trigger_in_reg[35]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_trigger_in_reg[35]~feeder .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X18_Y20_N16 +// Location: LCCOMB_X39_Y16_N0 cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[36]~feeder ( // Equation(s): // \auto_signaltap_0|acq_data_in_reg[36]~feeder_combout = \inst|inst4|inst115|auto_generated|safe_q [3] @@ -22632,58 +20552,7 @@ defparam \auto_signaltap_0|acq_data_in_reg[36]~feeder .lut_mask = 16'hFF00; defparam \auto_signaltap_0|acq_data_in_reg[36]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y20_N0 -cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[34]~feeder ( -// Equation(s): -// \auto_signaltap_0|acq_data_in_reg[34]~feeder_combout = \inst|inst4|inst115|auto_generated|safe_q [1] - - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\inst|inst4|inst115|auto_generated|safe_q [1]), - .cin(gnd), - .combout(\auto_signaltap_0|acq_data_in_reg[34]~feeder_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|acq_data_in_reg[34]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_data_in_reg[34]~feeder .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X24_Y20_N18 -cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[34]~feeder ( -// Equation(s): -// \auto_signaltap_0|acq_trigger_in_reg[34]~feeder_combout = \inst|inst4|inst115|auto_generated|safe_q [1] - - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\inst|inst4|inst115|auto_generated|safe_q [1]), - .cin(gnd), - .combout(\auto_signaltap_0|acq_trigger_in_reg[34]~feeder_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|acq_trigger_in_reg[34]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_trigger_in_reg[34]~feeder .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X19_Y19_N4 -cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[33]~feeder ( -// Equation(s): -// \auto_signaltap_0|acq_data_in_reg[33]~feeder_combout = \inst|inst4|inst115|auto_generated|safe_q [0] - - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\inst|inst4|inst115|auto_generated|safe_q [0]), - .cin(gnd), - .combout(\auto_signaltap_0|acq_data_in_reg[33]~feeder_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|acq_data_in_reg[33]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_data_in_reg[33]~feeder .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X20_Y20_N12 +// Location: LCCOMB_X29_Y17_N2 cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[33]~feeder ( // Equation(s): // \auto_signaltap_0|acq_trigger_in_reg[33]~feeder_combout = \inst|inst4|inst115|auto_generated|safe_q [0] @@ -22700,7 +20569,7 @@ defparam \auto_signaltap_0|acq_trigger_in_reg[33]~feeder .lut_mask = 16'hFF00; defparam \auto_signaltap_0|acq_trigger_in_reg[33]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y20_N24 +// Location: LCCOMB_X25_Y19_N2 cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[43]~feeder ( // Equation(s): // \auto_signaltap_0|acq_data_in_reg[43]~feeder_combout = \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout @@ -22717,7 +20586,7 @@ defparam \auto_signaltap_0|acq_data_in_reg[43]~feeder .lut_mask = 16'hFF00; defparam \auto_signaltap_0|acq_data_in_reg[43]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y20_N18 +// Location: LCCOMB_X39_Y16_N4 cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[42]~feeder ( // Equation(s): // \auto_signaltap_0|acq_data_in_reg[42]~feeder_combout = \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout @@ -22734,41 +20603,41 @@ defparam \auto_signaltap_0|acq_data_in_reg[42]~feeder .lut_mask = 16'hFF00; defparam \auto_signaltap_0|acq_data_in_reg[42]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y21_N10 -cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[45]~feeder ( +// Location: LCCOMB_X37_Y16_N18 +cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[41]~feeder ( // Equation(s): -// \auto_signaltap_0|acq_data_in_reg[45]~feeder_combout = \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout +// \auto_signaltap_0|acq_data_in_reg[41]~feeder_combout = \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout ), .cin(gnd), - .combout(\auto_signaltap_0|acq_data_in_reg[45]~feeder_combout ), + .combout(\auto_signaltap_0|acq_data_in_reg[41]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_data_in_reg[45]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_data_in_reg[45]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|acq_data_in_reg[41]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_data_in_reg[41]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X16_Y21_N0 -cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[41]~feeder ( +// Location: LCCOMB_X37_Y16_N24 +cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[40]~feeder ( // Equation(s): -// \auto_signaltap_0|acq_data_in_reg[41]~feeder_combout = \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout +// \auto_signaltap_0|acq_data_in_reg[40]~feeder_combout = \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout ), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout ), .cin(gnd), - .combout(\auto_signaltap_0|acq_data_in_reg[41]~feeder_combout ), + .combout(\auto_signaltap_0|acq_data_in_reg[40]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_data_in_reg[41]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_data_in_reg[41]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|acq_data_in_reg[40]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_data_in_reg[40]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X11_Y19_N0 +// Location: LCCOMB_X27_Y18_N16 cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[15]~feeder ( // Equation(s): // \auto_signaltap_0|acq_data_in_reg[15]~feeder_combout = \inst|inst6|Mux0~0_combout @@ -22785,7 +20654,7 @@ defparam \auto_signaltap_0|acq_data_in_reg[15]~feeder .lut_mask = 16'hFF00; defparam \auto_signaltap_0|acq_data_in_reg[15]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X11_Y19_N18 +// Location: LCCOMB_X27_Y18_N20 cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[15]~feeder ( // Equation(s): // \auto_signaltap_0|acq_trigger_in_reg[15]~feeder_combout = \inst|inst6|Mux0~0_combout @@ -22802,24 +20671,7 @@ defparam \auto_signaltap_0|acq_trigger_in_reg[15]~feeder .lut_mask = 16'hFF00; defparam \auto_signaltap_0|acq_trigger_in_reg[15]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y21_N8 -cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[14]~feeder ( -// Equation(s): -// \auto_signaltap_0|acq_trigger_in_reg[14]~feeder_combout = \inst|inst6|Mux1~0_combout - - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\inst|inst6|Mux1~0_combout ), - .cin(gnd), - .combout(\auto_signaltap_0|acq_trigger_in_reg[14]~feeder_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|acq_trigger_in_reg[14]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_trigger_in_reg[14]~feeder .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X12_Y21_N22 +// Location: LCCOMB_X26_Y18_N26 cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[13]~feeder ( // Equation(s): // \auto_signaltap_0|acq_trigger_in_reg[13]~feeder_combout = \inst|inst6|Mux2~0_combout @@ -22836,24 +20688,7 @@ defparam \auto_signaltap_0|acq_trigger_in_reg[13]~feeder .lut_mask = 16'hFF00; defparam \auto_signaltap_0|acq_trigger_in_reg[13]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X11_Y21_N2 -cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[13]~feeder ( -// Equation(s): -// \auto_signaltap_0|acq_data_in_reg[13]~feeder_combout = \inst|inst6|Mux2~0_combout - - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\inst|inst6|Mux2~0_combout ), - .cin(gnd), - .combout(\auto_signaltap_0|acq_data_in_reg[13]~feeder_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|acq_data_in_reg[13]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_data_in_reg[13]~feeder .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X15_Y21_N16 +// Location: LCCOMB_X29_Y18_N24 cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[12]~feeder ( // Equation(s): // \auto_signaltap_0|acq_data_in_reg[12]~feeder_combout = \inst|inst6|Mux3~0_combout @@ -22870,24 +20705,24 @@ defparam \auto_signaltap_0|acq_data_in_reg[12]~feeder .lut_mask = 16'hFF00; defparam \auto_signaltap_0|acq_data_in_reg[12]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y21_N10 -cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[12]~feeder ( +// Location: LCCOMB_X31_Y18_N28 +cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[10]~feeder ( // Equation(s): -// \auto_signaltap_0|acq_trigger_in_reg[12]~feeder_combout = \inst|inst6|Mux3~0_combout +// \auto_signaltap_0|acq_trigger_in_reg[10]~feeder_combout = \inst|inst6|Mux5~0_combout .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\inst|inst6|Mux3~0_combout ), + .datad(\inst|inst6|Mux5~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|acq_trigger_in_reg[12]~feeder_combout ), + .combout(\auto_signaltap_0|acq_trigger_in_reg[10]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_trigger_in_reg[12]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_trigger_in_reg[12]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|acq_trigger_in_reg[10]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_trigger_in_reg[10]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y21_N0 +// Location: LCCOMB_X37_Y18_N16 cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[10]~feeder ( // Equation(s): // \auto_signaltap_0|acq_data_in_reg[10]~feeder_combout = \inst|inst6|Mux5~0_combout @@ -22904,24 +20739,41 @@ defparam \auto_signaltap_0|acq_data_in_reg[10]~feeder .lut_mask = 16'hFF00; defparam \auto_signaltap_0|acq_data_in_reg[10]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y21_N16 -cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[10]~feeder ( +// Location: LCCOMB_X29_Y18_N12 +cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[9]~feeder ( // Equation(s): -// \auto_signaltap_0|acq_trigger_in_reg[10]~feeder_combout = \inst|inst6|Mux5~0_combout +// \auto_signaltap_0|acq_trigger_in_reg[9]~feeder_combout = \inst|inst6|Mux6~0_combout .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\inst|inst6|Mux5~0_combout ), + .datad(\inst|inst6|Mux6~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|acq_trigger_in_reg[10]~feeder_combout ), + .combout(\auto_signaltap_0|acq_trigger_in_reg[9]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_trigger_in_reg[10]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_trigger_in_reg[10]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|acq_trigger_in_reg[9]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_trigger_in_reg[9]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X23_Y17_N24 +// Location: LCCOMB_X30_Y18_N18 +cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[9]~feeder ( +// Equation(s): +// \auto_signaltap_0|acq_data_in_reg[9]~feeder_combout = \inst|inst6|Mux6~0_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst6|Mux6~0_combout ), + .cin(gnd), + .combout(\auto_signaltap_0|acq_data_in_reg[9]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|acq_data_in_reg[9]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_data_in_reg[9]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X27_Y16_N24 cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[28]~feeder ( // Equation(s): // \auto_signaltap_0|acq_data_in_reg[28]~feeder_combout = \inst|inst8|Mux1~0_combout @@ -22938,3748 +20790,11371 @@ defparam \auto_signaltap_0|acq_data_in_reg[28]~feeder .lut_mask = 16'hFF00; defparam \auto_signaltap_0|acq_data_in_reg[28]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y17_N8 -cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[28]~feeder ( +// Location: LCCOMB_X30_Y15_N8 +cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[23]~feeder ( // Equation(s): -// \auto_signaltap_0|acq_trigger_in_reg[28]~feeder_combout = \inst|inst8|Mux1~0_combout +// \auto_signaltap_0|acq_data_in_reg[23]~feeder_combout = \inst|inst4|inst107~0_combout .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\inst|inst8|Mux1~0_combout ), + .datad(\inst|inst4|inst107~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|acq_trigger_in_reg[28]~feeder_combout ), + .combout(\auto_signaltap_0|acq_data_in_reg[23]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_trigger_in_reg[28]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_trigger_in_reg[28]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|acq_data_in_reg[23]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_data_in_reg[23]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X18_Y21_N8 -cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[25]~feeder ( +// Location: LCCOMB_X30_Y15_N18 +cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[26]~feeder ( // Equation(s): -// \auto_signaltap_0|acq_data_in_reg[25]~feeder_combout = \inst|inst4|inst108~0_combout +// \auto_signaltap_0|acq_data_in_reg[26]~feeder_combout = \inst|inst4|inst107~0_combout .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst107~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|acq_data_in_reg[25]~feeder_combout ), + .combout(\auto_signaltap_0|acq_data_in_reg[26]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_data_in_reg[25]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_data_in_reg[25]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|acq_data_in_reg[26]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_data_in_reg[26]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y18_N28 -cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[22]~feeder ( +// Location: LCCOMB_X29_Y16_N10 +cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[25]~feeder ( // Equation(s): -// \auto_signaltap_0|acq_trigger_in_reg[22]~feeder_combout = \inst|inst7|Mux0~4_combout +// \auto_signaltap_0|acq_trigger_in_reg[25]~feeder_combout = \inst|inst4|inst108~0_combout .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\inst|inst7|Mux0~4_combout ), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|acq_trigger_in_reg[22]~feeder_combout ), + .combout(\auto_signaltap_0|acq_trigger_in_reg[25]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_trigger_in_reg[22]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_trigger_in_reg[22]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|acq_trigger_in_reg[25]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_trigger_in_reg[25]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X10_Y19_N28 -cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[22]~feeder ( +// Location: LCCOMB_X35_Y14_N16 +cycloneii_lcell_comb \inst|inst4|inst5|dffs[4]~feeder ( // Equation(s): -// \auto_signaltap_0|acq_data_in_reg[22]~feeder_combout = \inst|inst7|Mux0~4_combout +// \inst|inst4|inst5|dffs[4]~feeder_combout = \inst|inst4|inst4|$00000|auto_generated|result_node[4]~0_combout .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\inst|inst7|Mux0~4_combout ), + .datad(\inst|inst4|inst4|$00000|auto_generated|result_node[4]~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|acq_data_in_reg[22]~feeder_combout ), + .combout(\inst|inst4|inst5|dffs[4]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_data_in_reg[22]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_data_in_reg[22]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst5|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst5|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X11_Y18_N0 -cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[20]~feeder ( +// Location: LCCOMB_X31_Y13_N0 +cycloneii_lcell_comb \inst|inst4|inst31|dffs[4]~feeder ( // Equation(s): -// \auto_signaltap_0|acq_data_in_reg[20]~feeder_combout = \inst|inst7|Mux2~4_combout +// \inst|inst4|inst31|dffs[4]~feeder_combout = \inst|inst4|inst30|$00000|auto_generated|result_node[4]~0_combout .dataa(vcc), - .datab(vcc), + .datab(\inst|inst4|inst30|$00000|auto_generated|result_node[4]~0_combout ), .datac(vcc), - .datad(\inst|inst7|Mux2~4_combout ), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|acq_data_in_reg[20]~feeder_combout ), + .combout(\inst|inst4|inst31|dffs[4]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_data_in_reg[20]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_data_in_reg[20]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst31|dffs[4]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst31|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X11_Y18_N12 -cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[20]~feeder ( +// Location: LCCOMB_X32_Y14_N24 +cycloneii_lcell_comb \inst|inst4|inst27|dffs[4]~feeder ( // Equation(s): -// \auto_signaltap_0|acq_trigger_in_reg[20]~feeder_combout = \inst|inst7|Mux2~4_combout +// \inst|inst4|inst27|dffs[4]~feeder_combout = \inst|inst4|inst26|$00000|auto_generated|result_node[4]~0_combout - .dataa(vcc), + .dataa(\inst|inst4|inst26|$00000|auto_generated|result_node[4]~0_combout ), .datab(vcc), .datac(vcc), - .datad(\inst|inst7|Mux2~4_combout ), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|acq_trigger_in_reg[20]~feeder_combout ), + .combout(\inst|inst4|inst27|dffs[4]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_trigger_in_reg[20]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_trigger_in_reg[20]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst27|dffs[4]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst27|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X10_Y19_N24 -cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[16]~feeder ( +// Location: LCCOMB_X31_Y12_N16 +cycloneii_lcell_comb \inst|inst4|inst28|dffs[4]~feeder ( // Equation(s): -// \auto_signaltap_0|acq_data_in_reg[16]~feeder_combout = \inst|inst7|Mux6~4_combout +// \inst|inst4|inst28|dffs[4]~feeder_combout = \inst|inst4|inst29|$00000|auto_generated|result_node[4]~0_combout .dataa(vcc), - .datab(vcc), + .datab(\inst|inst4|inst29|$00000|auto_generated|result_node[4]~0_combout ), .datac(vcc), - .datad(\inst|inst7|Mux6~4_combout ), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|acq_data_in_reg[16]~feeder_combout ), + .combout(\inst|inst4|inst28|dffs[4]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_data_in_reg[16]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_data_in_reg[16]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst28|dffs[4]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst28|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y19_N24 -cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[17]~feeder ( +// Location: LCCOMB_X32_Y14_N26 +cycloneii_lcell_comb \inst|inst4|inst25|dffs[4]~feeder ( // Equation(s): -// \auto_signaltap_0|acq_trigger_in_reg[17]~feeder_combout = \inst|inst7|Mux5~1_combout +// \inst|inst4|inst25|dffs[4]~feeder_combout = \inst|inst4|inst24|$00000|auto_generated|result_node[4]~0_combout .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\inst|inst7|Mux5~1_combout ), + .datad(\inst|inst4|inst24|$00000|auto_generated|result_node[4]~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|acq_trigger_in_reg[17]~feeder_combout ), + .combout(\inst|inst4|inst25|dffs[4]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_trigger_in_reg[17]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_trigger_in_reg[17]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst25|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst25|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X10_Y19_N18 -cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[17]~feeder ( +// Location: LCCOMB_X23_Y12_N2 +cycloneii_lcell_comb \inst|inst4|inst57|dffs[4]~feeder ( // Equation(s): -// \auto_signaltap_0|acq_data_in_reg[17]~feeder_combout = \inst|inst7|Mux5~1_combout +// \inst|inst4|inst57|dffs[4]~feeder_combout = \inst|inst4|inst56|$00000|auto_generated|result_node[4]~0_combout .dataa(vcc), - .datab(vcc), + .datab(\inst|inst4|inst56|$00000|auto_generated|result_node[4]~0_combout ), .datac(vcc), - .datad(\inst|inst7|Mux5~1_combout ), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|acq_data_in_reg[17]~feeder_combout ), + .combout(\inst|inst4|inst57|dffs[4]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_data_in_reg[17]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_data_in_reg[17]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst57|dffs[4]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst57|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y14_N28 -cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[24]~feeder ( +// Location: LCCOMB_X22_Y15_N10 +cycloneii_lcell_comb \inst|inst4|inst53|dffs[4]~feeder ( // Equation(s): -// \auto_signaltap_0|acq_data_in_reg[24]~feeder_combout = \~GND~combout +// \inst|inst4|inst53|dffs[4]~feeder_combout = \inst|inst4|inst52|$00000|auto_generated|result_node[4]~0_combout .dataa(vcc), .datab(vcc), - .datac(\~GND~combout ), - .datad(vcc), + .datac(vcc), + .datad(\inst|inst4|inst52|$00000|auto_generated|result_node[4]~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|acq_data_in_reg[24]~feeder_combout ), + .combout(\inst|inst4|inst53|dffs[4]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_data_in_reg[24]~feeder .lut_mask = 16'hF0F0; -defparam \auto_signaltap_0|acq_data_in_reg[24]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst53|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst53|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y19_N2 -cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[11]~feeder ( +// Location: LCCOMB_X27_Y10_N24 +cycloneii_lcell_comb \inst|inst4|inst77|dffs[4]~feeder ( // Equation(s): -// \auto_signaltap_0|acq_data_in_reg[11]~feeder_combout = \inst|inst6|Mux4~0_wirecell_combout +// \inst|inst4|inst77|dffs[4]~feeder_combout = \inst|inst4|inst76|$00000|auto_generated|result_node[4]~0_combout .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\inst|inst6|Mux4~0_wirecell_combout ), + .datad(\inst|inst4|inst76|$00000|auto_generated|result_node[4]~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|acq_data_in_reg[11]~feeder_combout ), + .combout(\inst|inst4|inst77|dffs[4]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_data_in_reg[11]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_data_in_reg[11]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst77|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst77|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y21_N8 -cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[11]~feeder ( +// Location: LCCOMB_X24_Y10_N8 +cycloneii_lcell_comb \inst|inst4|inst75|dffs[4]~feeder ( // Equation(s): -// \auto_signaltap_0|acq_trigger_in_reg[11]~feeder_combout = \inst|inst6|Mux4~0_wirecell_combout +// \inst|inst4|inst75|dffs[4]~feeder_combout = \inst|inst4|inst74|$00000|auto_generated|result_node[4]~0_combout .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\inst|inst6|Mux4~0_wirecell_combout ), + .datad(\inst|inst4|inst74|$00000|auto_generated|result_node[4]~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|acq_trigger_in_reg[11]~feeder_combout ), + .combout(\inst|inst4|inst75|dffs[4]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_trigger_in_reg[11]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_trigger_in_reg[11]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst75|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst75|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y17_N8 -cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[8]~feeder ( +// Location: LCCOMB_X24_Y10_N26 +cycloneii_lcell_comb \inst|inst4|inst73|dffs[4]~feeder ( // Equation(s): -// \auto_signaltap_0|acq_data_in_reg[8]~feeder_combout = \inst|inst4|inst119|auto_generated|aneb_result_wire[0]~_wirecell_combout +// \inst|inst4|inst73|dffs[4]~feeder_combout = \inst|inst4|inst72|$00000|auto_generated|result_node[4]~0_combout - .dataa(vcc), + .dataa(\inst|inst4|inst72|$00000|auto_generated|result_node[4]~0_combout ), .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst119|auto_generated|aneb_result_wire[0]~_wirecell_combout ), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|acq_data_in_reg[8]~feeder_combout ), + .combout(\inst|inst4|inst73|dffs[4]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_data_in_reg[8]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_data_in_reg[8]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst73|dffs[4]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst73|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y17_N2 -cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[8]~feeder ( +// Location: LCCOMB_X27_Y10_N26 +cycloneii_lcell_comb \inst|inst4|inst79|dffs[4]~feeder ( // Equation(s): -// \auto_signaltap_0|acq_trigger_in_reg[8]~feeder_combout = \inst|inst4|inst119|auto_generated|aneb_result_wire[0]~_wirecell_combout +// \inst|inst4|inst79|dffs[4]~feeder_combout = \inst|inst4|inst78|$00000|auto_generated|result_node[4]~0_combout .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst119|auto_generated|aneb_result_wire[0]~_wirecell_combout ), + .datad(\inst|inst4|inst78|$00000|auto_generated|result_node[4]~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|acq_trigger_in_reg[8]~feeder_combout ), + .combout(\inst|inst4|inst79|dffs[4]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_trigger_in_reg[8]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_trigger_in_reg[8]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst79|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst79|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X23_Y17_N28 -cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[29]~feeder ( +// Location: LCCOMB_X22_Y11_N16 +cycloneii_lcell_comb \inst|inst4|inst68|dffs[4]~feeder ( // Equation(s): -// \auto_signaltap_0|acq_trigger_in_reg[29]~feeder_combout = \mode[1]~_wirecell_combout +// \inst|inst4|inst68|dffs[4]~feeder_combout = \inst|inst4|inst69|$00000|auto_generated|result_node[4]~0_combout .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\mode[1]~_wirecell_combout ), + .datad(\inst|inst4|inst69|$00000|auto_generated|result_node[4]~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|acq_trigger_in_reg[29]~feeder_combout ), + .combout(\inst|inst4|inst68|dffs[4]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_trigger_in_reg[29]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_trigger_in_reg[29]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst68|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst68|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X18_Y21_N2 -cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[29]~feeder ( +// Location: LCCOMB_X22_Y12_N16 +cycloneii_lcell_comb \inst|inst4|inst67|dffs[4]~feeder ( // Equation(s): -// \auto_signaltap_0|acq_data_in_reg[29]~feeder_combout = \mode[1]~_wirecell_combout +// \inst|inst4|inst67|dffs[4]~feeder_combout = \inst|inst4|inst66|$00000|auto_generated|result_node[4]~0_combout .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\mode[1]~_wirecell_combout ), + .datad(\inst|inst4|inst66|$00000|auto_generated|result_node[4]~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|acq_data_in_reg[29]~feeder_combout ), + .combout(\inst|inst4|inst67|dffs[4]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_data_in_reg[29]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_data_in_reg[29]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst67|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst67|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y13_N16 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[3]~feeder ( +// Location: LCCOMB_X22_Y12_N10 +cycloneii_lcell_comb \inst|inst4|inst65|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst65|dffs[4]~feeder_combout = \inst|inst4|inst64|$00000|auto_generated|result_node[4]~0_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\altera_internal_jtag~TDIUTAP ), + .datad(\inst|inst4|inst64|$00000|auto_generated|result_node[4]~0_combout ), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[3]~feeder_combout ), + .combout(\inst|inst4|inst65|dffs[4]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[3]~feeder .lut_mask = 16'hFF00; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[3]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst65|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst65|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y14_N6 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~feeder ( +// Location: LCCOMB_X22_Y10_N8 +cycloneii_lcell_comb \inst|inst4|inst71|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst71|dffs[4]~feeder_combout = \inst|inst4|inst70|$00000|auto_generated|result_node[4]~0_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~0_combout ), + .datad(\inst|inst4|inst70|$00000|auto_generated|result_node[4]~0_combout ), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~feeder_combout ), + .combout(\inst|inst4|inst71|dffs[4]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~feeder .lut_mask = 16'hFF00; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst71|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst71|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X16_Y14_N24 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4]~feeder ( +// Location: LCCOMB_X26_Y11_N16 +cycloneii_lcell_comb \inst|inst4|inst82|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst82|dffs[4]~feeder_combout = \inst|inst4|inst83|$00000|auto_generated|result_node[4]~0_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [4]), + .datad(\inst|inst4|inst83|$00000|auto_generated|result_node[4]~0_combout ), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4]~feeder_combout ), + .combout(\inst|inst4|inst82|dffs[4]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4]~feeder .lut_mask = 16'hFF00; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst82|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst82|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X16_Y14_N18 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5]~feeder ( +// Location: LCCOMB_X26_Y11_N18 +cycloneii_lcell_comb \inst|inst4|inst81|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst81|dffs[4]~feeder_combout = \inst|inst4|inst80|$00000|auto_generated|result_node[4]~0_combout + .dataa(vcc), - .datab(vcc), + .datab(\inst|inst4|inst80|$00000|auto_generated|result_node[4]~0_combout ), .datac(vcc), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [5]), + .datad(vcc), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5]~feeder_combout ), + .combout(\inst|inst4|inst81|dffs[4]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5]~feeder .lut_mask = 16'hFF00; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst81|dffs[4]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst81|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X16_Y14_N12 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][6]~feeder ( - .dataa(vcc), +// Location: LCCOMB_X26_Y10_N0 +cycloneii_lcell_comb \inst|inst4|inst87|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst87|dffs[4]~feeder_combout = \inst|inst4|inst86|$00000|auto_generated|result_node[4]~0_combout + + .dataa(\inst|inst4|inst86|$00000|auto_generated|result_node[4]~0_combout ), .datab(vcc), .datac(vcc), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [6]), + .datad(vcc), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][6]~feeder_combout ), + .combout(\inst|inst4|inst87|dffs[4]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][6]~feeder .lut_mask = 16'hFF00; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][6]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst87|dffs[4]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst87|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y13_N10 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~feeder ( +// Location: LCCOMB_X26_Y10_N10 +cycloneii_lcell_comb \inst|inst4|inst85|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst85|dffs[4]~feeder_combout = \inst|inst4|inst84|$00000|auto_generated|result_node[4]~0_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg [1]), + .datad(\inst|inst4|inst84|$00000|auto_generated|result_node[4]~0_combout ), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~feeder_combout ), + .combout(\inst|inst4|inst85|dffs[4]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~feeder .lut_mask = 16'hFF00; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst85|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst85|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y13_N4 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[1]~feeder ( +// Location: LCCOMB_X34_Y13_N16 +cycloneii_lcell_comb \inst|inst4|inst13|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst13|dffs[3]~feeder_combout = \inst|inst4|inst12|$00000|auto_generated|result_node[3]~1_combout + .dataa(vcc), - .datab(vcc), + .datab(\inst|inst4|inst12|$00000|auto_generated|result_node[3]~1_combout ), .datac(vcc), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg [1]), + .datad(vcc), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[1]~feeder_combout ), + .combout(\inst|inst4|inst13|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[1]~feeder .lut_mask = 16'hFF00; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[1]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst13|dffs[3]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst13|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y13_N6 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[1]~feeder ( +// Location: LCCOMB_X34_Y14_N12 +cycloneii_lcell_comb \inst|inst4|inst11|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst11|dffs[3]~feeder_combout = \inst|inst4|inst10|$00000|auto_generated|result_node[3]~1_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg [2]), + .datad(\inst|inst4|inst10|$00000|auto_generated|result_node[3]~1_combout ), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[1]~feeder_combout ), + .combout(\inst|inst4|inst11|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[1]~feeder .lut_mask = 16'hFF00; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[1]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst11|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst11|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y13_N2 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[2]~feeder ( +// Location: LCCOMB_X35_Y13_N12 +cycloneii_lcell_comb \inst|inst4|inst9|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst9|dffs[3]~feeder_combout = \inst|inst4|inst8|$00000|auto_generated|result_node[3]~1_combout + .dataa(vcc), - .datab(vcc), + .datab(\inst|inst4|inst8|$00000|auto_generated|result_node[3]~1_combout ), .datac(vcc), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg [2]), + .datad(vcc), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[2]~feeder_combout ), + .combout(\inst|inst4|inst9|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[2]~feeder .lut_mask = 16'hFF00; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[2]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst9|dffs[3]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst9|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y19_N6 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1]~feeder ( +// Location: LCCOMB_X36_Y13_N26 +cycloneii_lcell_comb \inst|inst4|inst5|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst5|dffs[3]~feeder_combout = \inst|inst4|inst4|$00000|auto_generated|result_node[3]~1_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [1]), + .datad(\inst|inst4|inst4|$00000|auto_generated|result_node[3]~1_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1]~feeder_combout ), + .combout(\inst|inst4|inst5|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst5|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst5|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y19_N14 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3]~feeder ( - .dataa(vcc), +// Location: LCCOMB_X36_Y13_N12 +cycloneii_lcell_comb \inst|inst4|inst2|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst2|dffs[3]~feeder_combout = \inst|inst4|inst3|$00000|auto_generated|result_node[3]~1_combout + + .dataa(\inst|inst4|inst3|$00000|auto_generated|result_node[3]~1_combout ), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [3]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3]~feeder_combout ), + .combout(\inst|inst4|inst2|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst2|dffs[3]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst2|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X16_Y18_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][5]~feeder ( +// Location: LCCOMB_X36_Y14_N16 +cycloneii_lcell_comb \inst|inst4|inst|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst|dffs[3]~feeder_combout = \inst|inst4|inst1|$00000|auto_generated|result_node[3]~1_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [5]), + .datad(\inst|inst4|inst1|$00000|auto_generated|result_node[3]~1_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][5]~feeder_combout ), + .combout(\inst|inst4|inst|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][5]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][5]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y16_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][7]~feeder ( +// Location: LCCOMB_X35_Y13_N30 +cycloneii_lcell_comb \inst|inst4|inst7|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst7|dffs[3]~feeder_combout = \inst|inst4|inst6|$00000|auto_generated|result_node[3]~1_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [7]), + .datad(\inst|inst4|inst6|$00000|auto_generated|result_node[3]~1_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][7]~feeder_combout ), + .combout(\inst|inst4|inst7|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][7]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][7]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst7|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst7|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X11_Y21_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][9]~feeder ( +// Location: LCCOMB_X33_Y15_N24 +cycloneii_lcell_comb \inst|inst4|inst25|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst25|dffs[3]~feeder_combout = \inst|inst4|inst24|$00000|auto_generated|result_node[3]~1_combout + .dataa(vcc), - .datab(vcc), + .datab(\inst|inst4|inst24|$00000|auto_generated|result_node[3]~1_combout ), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [9]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][9]~feeder_combout ), + .combout(\inst|inst4|inst25|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][9]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][9]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst25|dffs[3]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst25|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y21_N22 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][10]~feeder ( +// Location: LCCOMB_X33_Y12_N12 +cycloneii_lcell_comb \inst|inst4|inst19|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst19|dffs[3]~feeder_combout = \inst|inst4|inst18|$00000|auto_generated|result_node[3]~1_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [10]), + .datad(\inst|inst4|inst18|$00000|auto_generated|result_node[3]~1_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][10]~feeder_combout ), + .combout(\inst|inst4|inst19|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][10]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][10]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst19|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst19|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y19_N18 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][11]~feeder ( +// Location: LCCOMB_X34_Y15_N0 +cycloneii_lcell_comb \inst|inst4|inst23|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst23|dffs[3]~feeder_combout = \inst|inst4|inst22|$00000|auto_generated|result_node[3]~1_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [11]), + .datad(\inst|inst4|inst22|$00000|auto_generated|result_node[3]~1_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][11]~feeder_combout ), + .combout(\inst|inst4|inst23|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][11]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][11]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst23|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst23|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X11_Y21_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13]~feeder ( - .dataa(vcc), +// Location: LCCOMB_X33_Y15_N10 +cycloneii_lcell_comb \inst|inst4|inst21|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst21|dffs[3]~feeder_combout = \inst|inst4|inst20|$00000|auto_generated|result_node[3]~1_combout + + .dataa(\inst|inst4|inst20|$00000|auto_generated|result_node[3]~1_combout ), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [13]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13]~feeder_combout ), + .combout(\inst|inst4|inst21|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst21|dffs[3]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst21|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X11_Y21_N6 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14]~feeder ( - .dataa(vcc), +// Location: LCCOMB_X29_Y14_N4 +cycloneii_lcell_comb \inst|inst4|inst101|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst101|dffs[3]~feeder_combout = \inst|inst4|inst100|$00000|auto_generated|result_node[3]~1_combout + + .dataa(\inst|inst4|inst100|$00000|auto_generated|result_node[3]~1_combout ), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [14]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14]~feeder_combout ), + .combout(\inst|inst4|inst101|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst101|dffs[3]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst101|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X11_Y19_N28 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][15]~feeder ( - .dataa(vcc), +// Location: LCCOMB_X29_Y13_N2 +cycloneii_lcell_comb \inst|inst4|inst99|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst99|dffs[3]~feeder_combout = \inst|inst4|inst98|$00000|auto_generated|result_node[3]~1_combout + + .dataa(\inst|inst4|inst98|$00000|auto_generated|result_node[3]~1_combout ), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [15]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][15]~feeder_combout ), + .combout(\inst|inst4|inst99|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][15]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][15]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst99|dffs[3]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst99|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X10_Y19_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][17]~feeder ( +// Location: LCCOMB_X29_Y14_N30 +cycloneii_lcell_comb \inst|inst4|inst103|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst103|dffs[3]~feeder_combout = \inst|inst4|inst102|$00000|auto_generated|result_node[3]~1_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [17]), + .datad(\inst|inst4|inst102|$00000|auto_generated|result_node[3]~1_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][17]~feeder_combout ), + .combout(\inst|inst4|inst103|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][17]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][17]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst103|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst103|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y20_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][19]~feeder ( +// Location: LCCOMB_X24_Y11_N16 +cycloneii_lcell_comb \inst|inst4|inst45|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst45|dffs[3]~feeder_combout = \inst|inst4|inst44|$00000|auto_generated|result_node[3]~1_combout + .dataa(vcc), - .datab(vcc), + .datab(\inst|inst4|inst44|$00000|auto_generated|result_node[3]~1_combout ), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [19]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][19]~feeder_combout ), + .combout(\inst|inst4|inst45|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][19]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][19]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst45|dffs[3]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst45|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X11_Y18_N28 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20]~feeder ( +// Location: LCCOMB_X24_Y11_N18 +cycloneii_lcell_comb \inst|inst4|inst42|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst42|dffs[3]~feeder_combout = \inst|inst4|inst43|$00000|auto_generated|result_node[3]~1_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [20]), + .datad(\inst|inst4|inst43|$00000|auto_generated|result_node[3]~1_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20]~feeder_combout ), + .combout(\inst|inst4|inst42|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst42|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst42|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X10_Y19_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22]~feeder ( +// Location: LCCOMB_X25_Y11_N8 +cycloneii_lcell_comb \inst|inst4|inst41|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst41|dffs[3]~feeder_combout = \inst|inst4|inst40|$00000|auto_generated|result_node[3]~1_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [22]), + .datad(\inst|inst4|inst40|$00000|auto_generated|result_node[3]~1_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22]~feeder_combout ), + .combout(\inst|inst4|inst41|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst41|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst41|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y19_N28 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][23]~feeder ( - .dataa(vcc), +// Location: LCCOMB_X25_Y15_N20 +cycloneii_lcell_comb \inst|inst4|inst47|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst47|dffs[3]~feeder_combout = \inst|inst4|inst46|$00000|auto_generated|result_node[3]~1_combout + + .dataa(\inst|inst4|inst46|$00000|auto_generated|result_node[3]~1_combout ), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [23]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][23]~feeder_combout ), + .combout(\inst|inst4|inst47|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][23]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][23]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst47|dffs[3]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst47|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y14_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24]~feeder ( +// Location: LCCOMB_X25_Y11_N2 +cycloneii_lcell_comb \inst|inst4|inst37|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst37|dffs[3]~feeder_combout = \inst|inst4|inst36|$00000|auto_generated|result_node[3]~1_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [24]), + .datad(\inst|inst4|inst36|$00000|auto_generated|result_node[3]~1_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24]~feeder_combout ), + .combout(\inst|inst4|inst37|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst37|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst37|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y19_N18 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26]~feeder ( +// Location: LCCOMB_X25_Y13_N18 +cycloneii_lcell_comb \inst|inst4|inst35|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst35|dffs[3]~feeder_combout = \inst|inst4|inst34|$00000|auto_generated|result_node[3]~1_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [26]), + .datad(\inst|inst4|inst34|$00000|auto_generated|result_node[3]~1_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26]~feeder_combout ), + .combout(\inst|inst4|inst35|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst35|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst35|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X18_Y21_N10 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][29]~feeder ( +// Location: LCCOMB_X31_Y12_N22 +cycloneii_lcell_comb \inst|inst4|inst33|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst33|dffs[3]~feeder_combout = \inst|inst4|inst32|$00000|auto_generated|result_node[3]~1_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [29]), + .datad(\inst|inst4|inst32|$00000|auto_generated|result_node[3]~1_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][29]~feeder_combout ), + .combout(\inst|inst4|inst33|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][29]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][29]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst33|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst33|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X16_Y16_N10 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30]~feeder ( - .dataa(vcc), +// Location: LCCOMB_X24_Y12_N26 +cycloneii_lcell_comb \inst|inst4|inst39|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst39|dffs[3]~feeder_combout = \inst|inst4|inst38|$00000|auto_generated|result_node[3]~1_combout + + .dataa(\inst|inst4|inst38|$00000|auto_generated|result_node[3]~1_combout ), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [30]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30]~feeder_combout ), + .combout(\inst|inst4|inst39|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst39|dffs[3]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst39|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y19_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32]~feeder ( +// Location: LCCOMB_X23_Y12_N12 +cycloneii_lcell_comb \inst|inst4|inst59|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst59|dffs[3]~feeder_combout = \inst|inst4|inst58|$00000|auto_generated|result_node[3]~1_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [32]), + .datad(\inst|inst4|inst58|$00000|auto_generated|result_node[3]~1_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32]~feeder_combout ), + .combout(\inst|inst4|inst59|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst59|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst59|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y20_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][34]~feeder ( +// Location: LCCOMB_X24_Y15_N6 +cycloneii_lcell_comb \inst|inst4|inst49|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst49|dffs[3]~feeder_combout = \inst|inst4|inst48|$00000|auto_generated|result_node[3]~1_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [34]), + .datad(\inst|inst4|inst48|$00000|auto_generated|result_node[3]~1_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][34]~feeder_combout ), + .combout(\inst|inst4|inst49|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][34]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][34]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst49|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst49|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X18_Y20_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][37]~feeder ( - .dataa(vcc), +// Location: LCCOMB_X27_Y10_N4 +cycloneii_lcell_comb \inst|inst4|inst77|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst77|dffs[3]~feeder_combout = \inst|inst4|inst76|$00000|auto_generated|result_node[3]~1_combout + + .dataa(\inst|inst4|inst76|$00000|auto_generated|result_node[3]~1_combout ), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [37]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][37]~feeder_combout ), + .combout(\inst|inst4|inst77|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][37]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][37]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst77|dffs[3]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst77|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y20_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][38]~feeder ( +// Location: LCCOMB_X27_Y10_N14 +cycloneii_lcell_comb \inst|inst4|inst79|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst79|dffs[3]~feeder_combout = \inst|inst4|inst78|$00000|auto_generated|result_node[3]~1_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [38]), + .datad(\inst|inst4|inst78|$00000|auto_generated|result_node[3]~1_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][38]~feeder_combout ), + .combout(\inst|inst4|inst79|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][38]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][38]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst79|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst79|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y20_N18 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][39]~feeder ( +// Location: LCCOMB_X26_Y11_N14 +cycloneii_lcell_comb \inst|inst4|inst81|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst81|dffs[3]~feeder_combout = \inst|inst4|inst80|$00000|auto_generated|result_node[3]~1_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [39]), + .datad(\inst|inst4|inst80|$00000|auto_generated|result_node[3]~1_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][39]~feeder_combout ), + .combout(\inst|inst4|inst81|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][39]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][39]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst81|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst81|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y20_N30 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][40]~feeder ( - .dataa(vcc), +// Location: LCCOMB_X34_Y13_N28 +cycloneii_lcell_comb \inst|inst4|inst13|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst13|dffs[2]~feeder_combout = \inst|inst4|inst12|$00000|auto_generated|result_node[2]~2_combout + + .dataa(\inst|inst4|inst12|$00000|auto_generated|result_node[2]~2_combout ), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [40]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][40]~feeder_combout ), + .combout(\inst|inst4|inst13|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][40]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][40]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst13|dffs[2]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst13|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X16_Y21_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][41]~feeder ( - .dataa(vcc), +// Location: LCCOMB_X33_Y13_N12 +cycloneii_lcell_comb \inst|inst4|inst11|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst11|dffs[2]~feeder_combout = \inst|inst4|inst10|$00000|auto_generated|result_node[2]~2_combout + + .dataa(\inst|inst4|inst10|$00000|auto_generated|result_node[2]~2_combout ), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [41]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][41]~feeder_combout ), + .combout(\inst|inst4|inst11|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][41]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][41]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst11|dffs[2]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst11|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y20_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][42]~feeder ( +// Location: LCCOMB_X35_Y13_N24 +cycloneii_lcell_comb \inst|inst4|inst9|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst9|dffs[2]~feeder_combout = \inst|inst4|inst8|$00000|auto_generated|result_node[2]~2_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [42]), + .datad(\inst|inst4|inst8|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][42]~feeder_combout ), + .combout(\inst|inst4|inst9|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][42]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][42]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst9|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst9|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X18_Y20_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][44]~feeder ( +// Location: LCCOMB_X34_Y13_N30 +cycloneii_lcell_comb \inst|inst4|inst14|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst14|dffs[2]~feeder_combout = \inst|inst4|inst15|$00000|auto_generated|result_node[2]~2_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [44]), + .datad(\inst|inst4|inst15|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][44]~feeder_combout ), + .combout(\inst|inst4|inst14|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][44]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][44]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst14|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst14|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y21_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][45]~feeder ( - .dataa(vcc), +// Location: LCCOMB_X36_Y13_N14 +cycloneii_lcell_comb \inst|inst4|inst5|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst5|dffs[2]~feeder_combout = \inst|inst4|inst4|$00000|auto_generated|result_node[2]~2_combout + + .dataa(\inst|inst4|inst4|$00000|auto_generated|result_node[2]~2_combout ), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_data_in_reg [45]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][45]~feeder_combout ), + .combout(\inst|inst4|inst5|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][45]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][45]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst5|dffs[2]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst5|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X23_Y19_N14 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder ( +// Location: LCCOMB_X36_Y13_N8 +cycloneii_lcell_comb \inst|inst4|inst2|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst2|dffs[2]~feeder_combout = \inst|inst4|inst3|$00000|auto_generated|result_node[2]~2_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [0]), + .datad(\inst|inst4|inst3|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst2|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst2|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst2|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X23_Y19_N4 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|holdff~feeder ( +// Location: LCCOMB_X36_Y14_N18 +cycloneii_lcell_comb \inst|inst4|inst|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst|dffs[2]~feeder_combout = \inst|inst4|inst1|$00000|auto_generated|result_node[2]~2_combout + .dataa(vcc), - .datab(vcc), + .datab(\inst|inst4|inst1|$00000|auto_generated|result_node[2]~2_combout ), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [1]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst|dffs[2]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X23_Y19_N28 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|holdff~feeder ( +// Location: LCCOMB_X34_Y15_N18 +cycloneii_lcell_comb \inst|inst4|inst7|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst7|dffs[2]~feeder_combout = \inst|inst4|inst6|$00000|auto_generated|result_node[2]~2_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [2]), + .datad(\inst|inst4|inst6|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst7|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst7|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst7|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y18_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|holdff~feeder ( +// Location: LCCOMB_X31_Y13_N28 +cycloneii_lcell_comb \inst|inst4|inst31|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst31|dffs[2]~feeder_combout = \inst|inst4|inst30|$00000|auto_generated|result_node[2]~2_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [3]), + .datad(\inst|inst4|inst30|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst31|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst31|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst31|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y18_N30 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|holdff~feeder ( +// Location: LCCOMB_X32_Y14_N22 +cycloneii_lcell_comb \inst|inst4|inst27|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst27|dffs[2]~feeder_combout = \inst|inst4|inst26|$00000|auto_generated|result_node[2]~2_combout + .dataa(vcc), - .datab(vcc), + .datab(\inst|inst4|inst26|$00000|auto_generated|result_node[2]~2_combout ), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [4]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst27|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst27|dffs[2]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst27|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y18_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|holdff~feeder ( +// Location: LCCOMB_X32_Y13_N24 +cycloneii_lcell_comb \inst|inst4|inst23|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst23|dffs[2]~feeder_combout = \inst|inst4|inst22|$00000|auto_generated|result_node[2]~2_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [5]), + .datad(\inst|inst4|inst22|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst23|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst23|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst23|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y21_N6 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|holdff~feeder ( +// Location: LCCOMB_X33_Y12_N22 +cycloneii_lcell_comb \inst|inst4|inst19|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst19|dffs[2]~feeder_combout = \inst|inst4|inst18|$00000|auto_generated|result_node[2]~2_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [6]), + .datad(\inst|inst4|inst18|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst19|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst19|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst19|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y21_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|holdff~feeder ( +// Location: LCCOMB_X31_Y13_N14 +cycloneii_lcell_comb \inst|inst4|inst28|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst28|dffs[2]~feeder_combout = \inst|inst4|inst29|$00000|auto_generated|result_node[2]~2_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [8]), + .datad(\inst|inst4|inst29|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst28|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst28|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst28|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y21_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|holdff~feeder ( +// Location: LCCOMB_X32_Y14_N8 +cycloneii_lcell_comb \inst|inst4|inst25|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst25|dffs[2]~feeder_combout = \inst|inst4|inst24|$00000|auto_generated|result_node[2]~2_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [9]), + .datad(\inst|inst4|inst24|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst25|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst25|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst25|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y21_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|holdff~feeder ( +// Location: LCCOMB_X32_Y13_N18 +cycloneii_lcell_comb \inst|inst4|inst21|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst21|dffs[2]~feeder_combout = \inst|inst4|inst20|$00000|auto_generated|result_node[2]~2_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [10]), + .datad(\inst|inst4|inst20|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst21|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst21|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst21|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y21_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|holdff~feeder ( +// Location: LCCOMB_X33_Y13_N14 +cycloneii_lcell_comb \inst|inst4|inst17|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst17|dffs[2]~feeder_combout = \inst|inst4|inst16|$00000|auto_generated|result_node[2]~2_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [12]), + .datad(\inst|inst4|inst16|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst17|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst17|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst17|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y21_N30 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|holdff~feeder ( - .dataa(vcc), +// Location: LCCOMB_X29_Y13_N28 +cycloneii_lcell_comb \inst|inst4|inst99|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst99|dffs[2]~feeder_combout = \inst|inst4|inst98|$00000|auto_generated|result_node[2]~2_combout + + .dataa(\inst|inst4|inst98|$00000|auto_generated|result_node[2]~2_combout ), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [13]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst99|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst99|dffs[2]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst99|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X11_Y19_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|holdff~feeder ( +// Location: LCCOMB_X30_Y13_N12 +cycloneii_lcell_comb \inst|inst4|inst96|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst96|dffs[2]~feeder_combout = \inst|inst4|inst97|$00000|auto_generated|result_node[2]~2_combout + .dataa(vcc), - .datab(vcc), + .datab(\inst|inst4|inst97|$00000|auto_generated|result_node[2]~2_combout ), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [15]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst96|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst96|dffs[2]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst96|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y19_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|holdff~feeder ( +// Location: LCCOMB_X24_Y12_N12 +cycloneii_lcell_comb \inst|inst4|inst39|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst39|dffs[2]~feeder_combout = \inst|inst4|inst38|$00000|auto_generated|result_node[2]~2_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [17]), + .datad(\inst|inst4|inst38|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst39|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst39|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst39|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y18_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|holdff~feeder ( +// Location: LCCOMB_X22_Y13_N2 +cycloneii_lcell_comb \inst|inst4|inst61|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst61|dffs[2]~feeder_combout = \inst|inst4|inst60|$00000|auto_generated|result_node[2]~2_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [19]), + .datad(\inst|inst4|inst60|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst61|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst61|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst61|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y18_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|holdff~feeder ( +// Location: LCCOMB_X22_Y14_N12 +cycloneii_lcell_comb \inst|inst4|inst54|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst54|dffs[2]~feeder_combout = \inst|inst4|inst55|$00000|auto_generated|result_node[2]~2_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [20]), + .datad(\inst|inst4|inst55|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst54|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst54|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst54|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y18_N14 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|holdff~feeder ( +// Location: LCCOMB_X23_Y14_N28 +cycloneii_lcell_comb \inst|inst4|inst53|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst53|dffs[2]~feeder_combout = \inst|inst4|inst52|$00000|auto_generated|result_node[2]~2_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [21]), + .datad(\inst|inst4|inst52|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst53|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst53|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst53|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y18_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|holdff~feeder ( +// Location: LCCOMB_X29_Y10_N6 +cycloneii_lcell_comb \inst|inst4|inst91|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst91|dffs[2]~feeder_combout = \inst|inst4|inst90|$00000|auto_generated|result_node[2]~2_combout + .dataa(vcc), - .datab(vcc), + .datab(\inst|inst4|inst90|$00000|auto_generated|result_node[2]~2_combout ), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [22]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst91|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst91|dffs[2]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst91|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y18_N4 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|holdff~feeder ( +// Location: LCCOMB_X29_Y11_N4 +cycloneii_lcell_comb \inst|inst4|inst89|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst89|dffs[2]~feeder_combout = \inst|inst4|inst88|$00000|auto_generated|result_node[2]~2_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [25]), + .datad(\inst|inst4|inst88|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst89|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst89|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst89|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X22_Y17_N14 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff~feeder ( +// Location: LCCOMB_X30_Y12_N4 +cycloneii_lcell_comb \inst|inst4|inst95|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst95|dffs[2]~feeder_combout = \inst|inst4|inst94|$00000|auto_generated|result_node[2]~2_combout + .dataa(vcc), - .datab(vcc), + .datab(\inst|inst4|inst94|$00000|auto_generated|result_node[2]~2_combout ), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [27]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst95|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst95|dffs[2]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst95|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X22_Y17_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff~feeder ( +// Location: LCCOMB_X29_Y10_N24 +cycloneii_lcell_comb \inst|inst4|inst93|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst93|dffs[2]~feeder_combout = \inst|inst4|inst92|$00000|auto_generated|result_node[2]~2_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [29]), + .datad(\inst|inst4|inst92|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst93|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst93|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst93|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y20_N4 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|holdff~feeder ( +// Location: LCCOMB_X26_Y11_N24 +cycloneii_lcell_comb \inst|inst4|inst82|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst82|dffs[2]~feeder_combout = \inst|inst4|inst83|$00000|auto_generated|result_node[2]~2_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [30]), + .datad(\inst|inst4|inst83|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst82|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst82|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst82|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y19_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|holdff~feeder ( +// Location: LCCOMB_X26_Y11_N10 +cycloneii_lcell_comb \inst|inst4|inst81|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst81|dffs[2]~feeder_combout = \inst|inst4|inst80|$00000|auto_generated|result_node[2]~2_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [31]), + .datad(\inst|inst4|inst80|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst81|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst81|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst81|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y20_N30 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|holdff~feeder ( - .dataa(vcc), +// Location: LCCOMB_X24_Y13_N8 +cycloneii_lcell_comb \inst|inst4|inst87|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst87|dffs[2]~feeder_combout = \inst|inst4|inst86|$00000|auto_generated|result_node[2]~2_combout + + .dataa(\inst|inst4|inst86|$00000|auto_generated|result_node[2]~2_combout ), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [32]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst87|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst87|dffs[2]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst87|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y20_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|holdff~feeder ( +// Location: LCCOMB_X24_Y13_N18 +cycloneii_lcell_comb \inst|inst4|inst85|dffs[2]~feeder ( +// Equation(s): +// \inst|inst4|inst85|dffs[2]~feeder_combout = \inst|inst4|inst84|$00000|auto_generated|result_node[2]~2_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [33]), + .datad(\inst|inst4|inst84|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst85|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst85|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst85|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y20_N4 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|holdff~feeder ( +// Location: LCCOMB_X34_Y14_N14 +cycloneii_lcell_comb \inst|inst4|inst13|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst13|dffs[5]~feeder_combout = \inst|inst4|inst12|$00000|auto_generated|result_node[5]~3_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [34]), + .datad(\inst|inst4|inst12|$00000|auto_generated|result_node[5]~3_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst13|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst13|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst13|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X23_Y20_N6 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|holdff~feeder ( - .dataa(vcc), +// Location: LCCOMB_X34_Y14_N16 +cycloneii_lcell_comb \inst|inst4|inst11|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst11|dffs[5]~feeder_combout = \inst|inst4|inst10|$00000|auto_generated|result_node[5]~3_combout + + .dataa(\inst|inst4|inst10|$00000|auto_generated|result_node[5]~3_combout ), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [35]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst11|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst11|dffs[5]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst11|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X23_Y20_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff~feeder ( +// Location: LCCOMB_X35_Y13_N2 +cycloneii_lcell_comb \inst|inst4|inst9|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst9|dffs[5]~feeder_combout = \inst|inst4|inst8|$00000|auto_generated|result_node[5]~3_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [36]), + .datad(\inst|inst4|inst8|$00000|auto_generated|result_node[5]~3_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst9|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst9|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst9|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X22_Y20_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|holdff~feeder ( +// Location: LCCOMB_X35_Y12_N2 +cycloneii_lcell_comb \inst|inst4|inst14|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst14|dffs[5]~feeder_combout = \inst|inst4|inst15|$00000|auto_generated|result_node[5]~3_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [37]), + .datad(\inst|inst4|inst15|$00000|auto_generated|result_node[5]~3_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst14|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst14|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst14|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X22_Y20_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff~feeder ( +// Location: LCCOMB_X35_Y14_N18 +cycloneii_lcell_comb \inst|inst4|inst5|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst5|dffs[5]~feeder_combout = \inst|inst4|inst4|$00000|auto_generated|result_node[5]~3_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [39]), + .datad(\inst|inst4|inst4|$00000|auto_generated|result_node[5]~3_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst5|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst5|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst5|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y20_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|holdff~feeder ( +// Location: LCCOMB_X36_Y14_N28 +cycloneii_lcell_comb \inst|inst4|inst2|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst2|dffs[5]~feeder_combout = \inst|inst4|inst3|$00000|auto_generated|result_node[5]~3_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [40]), + .datad(\inst|inst4|inst3|$00000|auto_generated|result_node[5]~3_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst2|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst2|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst2|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X22_Y21_N18 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff~feeder ( - .dataa(vcc), +// Location: LCCOMB_X36_Y14_N30 +cycloneii_lcell_comb \inst|inst4|inst|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst|dffs[5]~feeder_combout = \inst|inst4|inst1|$00000|auto_generated|result_node[5]~3_combout + + .dataa(\inst|inst4|inst1|$00000|auto_generated|result_node[5]~3_combout ), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [41]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst|dffs[5]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X22_Y19_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff~feeder ( - .dataa(vcc), +// Location: LCCOMB_X35_Y14_N4 +cycloneii_lcell_comb \inst|inst4|inst7|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst7|dffs[5]~feeder_combout = \inst|inst4|inst6|$00000|auto_generated|result_node[5]~3_combout + + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [43]), + .datad(\inst|inst4|inst6|$00000|auto_generated|result_node[5]~3_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst7|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst7|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst7|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y19_N30 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff~feeder ( +// Location: LCCOMB_X32_Y14_N18 +cycloneii_lcell_comb \inst|inst4|inst27|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst27|dffs[5]~feeder_combout = \inst|inst4|inst26|$00000|auto_generated|result_node[5]~3_combout + .dataa(vcc), - .datab(vcc), + .datab(\inst|inst4|inst26|$00000|auto_generated|result_node[5]~3_combout ), .datac(vcc), - .datad(\auto_signaltap_0|acq_trigger_in_reg [45]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff~feeder_combout ), + .combout(\inst|inst4|inst27|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst27|dffs[5]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst27|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y18_N28 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[1]~feeder ( +// Location: LCCOMB_X31_Y12_N10 +cycloneii_lcell_comb \inst|inst4|inst28|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst28|dffs[5]~feeder_combout = \inst|inst4|inst29|$00000|auto_generated|result_node[5]~3_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[1]~regout ), + .datad(\inst|inst4|inst29|$00000|auto_generated|result_node[5]~3_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[1]~feeder_combout ), + .combout(\inst|inst4|inst28|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[1]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[1]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst28|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst28|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y18_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[2]~feeder ( +// Location: LCCOMB_X32_Y14_N12 +cycloneii_lcell_comb \inst|inst4|inst25|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst25|dffs[5]~feeder_combout = \inst|inst4|inst24|$00000|auto_generated|result_node[5]~3_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[2]~regout ), + .datad(\inst|inst4|inst24|$00000|auto_generated|result_node[5]~3_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[2]~feeder_combout ), + .combout(\inst|inst4|inst25|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[2]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[2]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst25|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst25|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y15_N22 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[5]~feeder ( +// Location: LCCOMB_X32_Y13_N12 +cycloneii_lcell_comb \inst|inst4|inst23|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst23|dffs[5]~feeder_combout = \inst|inst4|inst22|$00000|auto_generated|result_node[5]~3_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[5]~regout ), + .datad(\inst|inst4|inst22|$00000|auto_generated|result_node[5]~3_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[5]~feeder_combout ), + .combout(\inst|inst4|inst23|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[5]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[5]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst23|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst23|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y18_N22 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[6]~feeder ( +// Location: LCCOMB_X33_Y12_N16 +cycloneii_lcell_comb \inst|inst4|inst19|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst19|dffs[5]~feeder_combout = \inst|inst4|inst18|$00000|auto_generated|result_node[5]~3_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[6]~regout ), + .datad(\inst|inst4|inst18|$00000|auto_generated|result_node[5]~3_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[6]~feeder_combout ), + .combout(\inst|inst4|inst19|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[6]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[6]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst19|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst19|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y17_N26 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0]~feeder ( +// Location: LCCOMB_X32_Y13_N6 +cycloneii_lcell_comb \inst|inst4|inst21|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst21|dffs[5]~feeder_combout = \inst|inst4|inst20|$00000|auto_generated|result_node[5]~3_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~regout ), + .datad(\inst|inst4|inst20|$00000|auto_generated|result_node[5]~3_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0]~feeder_combout ), + .combout(\inst|inst4|inst21|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst21|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst21|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y15_N18 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[1]~feeder ( +// Location: LCCOMB_X33_Y13_N16 +cycloneii_lcell_comb \inst|inst4|inst17|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst17|dffs[5]~feeder_combout = \inst|inst4|inst16|$00000|auto_generated|result_node[5]~3_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~1_combout ), + .datad(\inst|inst4|inst16|$00000|auto_generated|result_node[5]~3_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[1]~feeder_combout ), + .combout(\inst|inst4|inst17|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[1]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[1]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst17|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst17|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y15_N22 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[4]~feeder ( +// Location: LCCOMB_X29_Y14_N12 +cycloneii_lcell_comb \inst|inst4|inst101|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst101|dffs[5]~feeder_combout = \inst|inst4|inst100|$00000|auto_generated|result_node[5]~3_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4_combout ), + .datad(\inst|inst4|inst100|$00000|auto_generated|result_node[5]~3_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[4]~feeder_combout ), + .combout(\inst|inst4|inst101|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[4]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[4]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst101|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst101|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y15_N30 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[6]~feeder ( +// Location: LCCOMB_X29_Y13_N14 +cycloneii_lcell_comb \inst|inst4|inst99|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst99|dffs[5]~feeder_combout = \inst|inst4|inst98|$00000|auto_generated|result_node[5]~3_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~6_combout ), + .datad(\inst|inst4|inst98|$00000|auto_generated|result_node[5]~3_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[6]~feeder_combout ), + .combout(\inst|inst4|inst99|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[6]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[6]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst99|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst99|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y17_N18 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5]~feeder ( +// Location: LCCOMB_X29_Y14_N22 +cycloneii_lcell_comb \inst|inst4|inst103|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst103|dffs[5]~feeder_combout = \inst|inst4|inst102|$00000|auto_generated|result_node[5]~3_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [4]), + .datad(\inst|inst4|inst102|$00000|auto_generated|result_node[5]~3_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5]~feeder_combout ), + .combout(\inst|inst4|inst103|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst103|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst103|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y17_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5]~feeder ( +// Location: LCCOMB_X25_Y15_N18 +cycloneii_lcell_comb \inst|inst4|inst45|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst45|dffs[5]~feeder_combout = \inst|inst4|inst44|$00000|auto_generated|result_node[5]~3_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [4]), + .datad(\inst|inst4|inst44|$00000|auto_generated|result_node[5]~3_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5]~feeder_combout ), + .combout(\inst|inst4|inst45|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst45|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst45|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X18_Y15_N4 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[6]~feeder ( +// Location: LCCOMB_X24_Y12_N6 +cycloneii_lcell_comb \inst|inst4|inst42|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst42|dffs[5]~feeder_combout = \inst|inst4|inst43|$00000|auto_generated|result_node[5]~3_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[6]~regout ), + .datad(\inst|inst4|inst43|$00000|auto_generated|result_node[5]~3_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[6]~feeder_combout ), + .combout(\inst|inst4|inst42|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[6]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[6]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst42|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst42|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y18_N30 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8]~feeder ( +// Location: LCCOMB_X25_Y11_N22 +cycloneii_lcell_comb \inst|inst4|inst41|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst41|dffs[5]~feeder_combout = \inst|inst4|inst40|$00000|auto_generated|result_node[5]~3_combout + .dataa(vcc), - .datab(vcc), + .datab(\inst|inst4|inst40|$00000|auto_generated|result_node[5]~3_combout ), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8]~feeder_combout ), + .combout(\inst|inst4|inst41|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst41|dffs[5]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst41|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y18_N18 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9]~feeder ( +// Location: LCCOMB_X25_Y15_N28 +cycloneii_lcell_comb \inst|inst4|inst47|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst47|dffs[5]~feeder_combout = \inst|inst4|inst46|$00000|auto_generated|result_node[5]~3_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1]), + .datad(\inst|inst4|inst46|$00000|auto_generated|result_node[5]~3_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9]~feeder_combout ), + .combout(\inst|inst4|inst47|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst47|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst47|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y18_N22 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][10]~feeder ( +// Location: LCCOMB_X23_Y11_N16 +cycloneii_lcell_comb \inst|inst4|inst59|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst59|dffs[5]~feeder_combout = \inst|inst4|inst58|$00000|auto_generated|result_node[5]~3_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2]), + .datad(\inst|inst4|inst58|$00000|auto_generated|result_node[5]~3_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][10]~feeder_combout ), + .combout(\inst|inst4|inst59|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][10]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][10]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst59|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst59|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y18_N10 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][11]~feeder ( +// Location: LCCOMB_X22_Y13_N14 +cycloneii_lcell_comb \inst|inst4|inst61|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst61|dffs[5]~feeder_combout = \inst|inst4|inst60|$00000|auto_generated|result_node[5]~3_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3]), + .datad(\inst|inst4|inst60|$00000|auto_generated|result_node[5]~3_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][11]~feeder_combout ), + .combout(\inst|inst4|inst61|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][11]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][11]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst61|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst61|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y18_N10 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][13]~feeder ( +// Location: LCCOMB_X22_Y14_N30 +cycloneii_lcell_comb \inst|inst4|inst57|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst57|dffs[5]~feeder_combout = \inst|inst4|inst56|$00000|auto_generated|result_node[5]~3_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5]), + .datad(\inst|inst4|inst56|$00000|auto_generated|result_node[5]~3_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][13]~feeder_combout ), + .combout(\inst|inst4|inst57|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][13]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][13]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst57|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst57|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y18_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][13]~feeder ( - .dataa(vcc), +// Location: LCCOMB_X22_Y14_N8 +cycloneii_lcell_comb \inst|inst4|inst54|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst54|dffs[5]~feeder_combout = \inst|inst4|inst55|$00000|auto_generated|result_node[5]~3_combout + + .dataa(\inst|inst4|inst55|$00000|auto_generated|result_node[5]~3_combout ), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][13]~feeder_combout ), + .combout(\inst|inst4|inst54|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][13]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][13]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst54|dffs[5]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst54|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y18_N4 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][14]~feeder ( +// Location: LCCOMB_X23_Y14_N14 +cycloneii_lcell_comb \inst|inst4|inst51|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst51|dffs[5]~feeder_combout = \inst|inst4|inst50|$00000|auto_generated|result_node[5]~3_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6]), + .datad(\inst|inst4|inst50|$00000|auto_generated|result_node[5]~3_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][14]~feeder_combout ), + .combout(\inst|inst4|inst51|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][14]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][14]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst51|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst51|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y18_N14 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][14]~feeder ( +// Location: LCCOMB_X23_Y14_N0 +cycloneii_lcell_comb \inst|inst4|inst53|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst53|dffs[5]~feeder_combout = \inst|inst4|inst52|$00000|auto_generated|result_node[5]~3_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6]), + .datad(\inst|inst4|inst52|$00000|auto_generated|result_node[5]~3_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][14]~feeder_combout ), + .combout(\inst|inst4|inst53|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][14]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][14]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst53|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst53|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y19_N16 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][0]~feeder ( +// Location: LCCOMB_X24_Y15_N18 +cycloneii_lcell_comb \inst|inst4|inst49|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst49|dffs[5]~feeder_combout = \inst|inst4|inst48|$00000|auto_generated|result_node[5]~3_combout + .dataa(vcc), - .datab(vcc), + .datab(\inst|inst4|inst48|$00000|auto_generated|result_node[5]~3_combout ), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][0]~regout ), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][0]~feeder_combout ), + .combout(\inst|inst4|inst49|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][0]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][0]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst49|dffs[5]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst49|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y19_N10 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][1]~feeder ( +// Location: LCCOMB_X29_Y11_N14 +cycloneii_lcell_comb \inst|inst4|inst89|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst89|dffs[5]~feeder_combout = \inst|inst4|inst88|$00000|auto_generated|result_node[5]~3_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1]~regout ), + .datad(\inst|inst4|inst88|$00000|auto_generated|result_node[5]~3_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][1]~feeder_combout ), + .combout(\inst|inst4|inst89|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][1]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][1]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst89|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst89|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y19_N28 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][0]~feeder ( +// Location: LCCOMB_X34_Y14_N28 +cycloneii_lcell_comb \inst|inst4|inst11|dffs[1]~feeder ( +// Equation(s): +// \inst|inst4|inst11|dffs[1]~feeder_combout = \inst|inst4|inst10|$00000|auto_generated|result_node[1]~4_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0]~regout ), + .datad(\inst|inst4|inst10|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][0]~feeder_combout ), + .combout(\inst|inst4|inst11|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][0]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][0]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst11|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst11|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y19_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][2]~feeder ( +// Location: LCCOMB_X35_Y13_N20 +cycloneii_lcell_comb \inst|inst4|inst9|dffs[1]~feeder ( +// Equation(s): +// \inst|inst4|inst9|dffs[1]~feeder_combout = \inst|inst4|inst8|$00000|auto_generated|result_node[1]~4_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][2]~regout ), + .datad(\inst|inst4|inst8|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][2]~feeder_combout ), + .combout(\inst|inst4|inst9|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][2]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][2]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst9|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst9|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y19_N22 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1]~feeder ( +// Location: LCCOMB_X36_Y14_N8 +cycloneii_lcell_comb \inst|inst4|inst2|dffs[1]~feeder ( +// Equation(s): +// \inst|inst4|inst2|dffs[1]~feeder_combout = \inst|inst4|inst3|$00000|auto_generated|result_node[1]~4_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][1]~regout ), + .datad(\inst|inst4|inst3|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1]~feeder_combout ), + .combout(\inst|inst4|inst2|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst2|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst2|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y19_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0]~feeder ( +// Location: LCCOMB_X36_Y13_N2 +cycloneii_lcell_comb \inst|inst4|inst5|dffs[1]~feeder ( +// Equation(s): +// \inst|inst4|inst5|dffs[1]~feeder_combout = \inst|inst4|inst4|$00000|auto_generated|result_node[1]~4_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][0]~regout ), + .datad(\inst|inst4|inst4|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0]~feeder_combout ), + .combout(\inst|inst4|inst5|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst5|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst5|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y19_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][3]~feeder ( - .dataa(vcc), +// Location: LCCOMB_X36_Y14_N10 +cycloneii_lcell_comb \inst|inst4|inst|dffs[1]~feeder ( +// Equation(s): +// \inst|inst4|inst|dffs[1]~feeder_combout = \inst|inst4|inst1|$00000|auto_generated|result_node [1] + + .dataa(\inst|inst4|inst1|$00000|auto_generated|result_node [1]), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3]~regout ), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][3]~feeder_combout ), + .combout(\inst|inst4|inst|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][3]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][3]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst|dffs[1]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y19_N18 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][1]~feeder ( - .dataa(vcc), +// Location: LCCOMB_X37_Y14_N8 +cycloneii_lcell_comb \inst|inst4|inst7|dffs[1]~feeder ( +// Equation(s): +// \inst|inst4|inst7|dffs[1]~feeder_combout = \inst|inst4|inst6|$00000|auto_generated|result_node[1]~4_combout + + .dataa(\inst|inst4|inst6|$00000|auto_generated|result_node[1]~4_combout ), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1]~regout ), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][1]~feeder_combout ), + .combout(\inst|inst4|inst7|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][1]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][1]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst7|dffs[1]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst7|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X16_Y18_N14 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][4]~feeder ( +// Location: LCCOMB_X32_Y14_N6 +cycloneii_lcell_comb \inst|inst4|inst27|dffs[1]~feeder ( +// Equation(s): +// \inst|inst4|inst27|dffs[1]~feeder_combout = \inst|inst4|inst26|$00000|auto_generated|result_node[1]~4_combout + .dataa(vcc), - .datab(vcc), + .datab(\inst|inst4|inst26|$00000|auto_generated|result_node[1]~4_combout ), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][4]~regout ), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][4]~feeder_combout ), + .combout(\inst|inst4|inst27|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][4]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][4]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst27|dffs[1]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst27|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y19_N30 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3]~feeder ( +// Location: LCCOMB_X32_Y14_N16 +cycloneii_lcell_comb \inst|inst4|inst25|dffs[1]~feeder ( +// Equation(s): +// \inst|inst4|inst25|dffs[1]~feeder_combout = \inst|inst4|inst24|$00000|auto_generated|result_node[1]~4_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][3]~regout ), + .datad(\inst|inst4|inst24|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3]~feeder_combout ), + .combout(\inst|inst4|inst25|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst25|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst25|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y19_N16 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2]~feeder ( +// Location: LCCOMB_X33_Y14_N10 +cycloneii_lcell_comb \inst|inst4|inst19|dffs[1]~feeder ( +// Equation(s): +// \inst|inst4|inst19|dffs[1]~feeder_combout = \inst|inst4|inst18|$00000|auto_generated|result_node[1]~4_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][2]~regout ), + .datad(\inst|inst4|inst18|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2]~feeder_combout ), + .combout(\inst|inst4|inst19|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst19|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst19|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X16_Y18_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][5]~feeder ( +// Location: LCCOMB_X25_Y15_N6 +cycloneii_lcell_comb \inst|inst4|inst45|dffs[1]~feeder ( +// Equation(s): +// \inst|inst4|inst45|dffs[1]~feeder_combout = \inst|inst4|inst44|$00000|auto_generated|result_node[1]~4_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][5]~regout ), + .datad(\inst|inst4|inst44|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][5]~feeder_combout ), + .combout(\inst|inst4|inst45|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][5]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][5]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst45|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst45|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X16_Y18_N26 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][4]~feeder ( +// Location: LCCOMB_X25_Y14_N14 +cycloneii_lcell_comb \inst|inst4|inst42|dffs[1]~feeder ( +// Equation(s): +// \inst|inst4|inst42|dffs[1]~feeder_combout = \inst|inst4|inst43|$00000|auto_generated|result_node[1]~4_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4]~regout ), + .datad(\inst|inst4|inst43|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][4]~feeder_combout ), + .combout(\inst|inst4|inst42|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][4]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][4]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst42|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst42|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y16_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][6]~feeder ( +// Location: LCCOMB_X25_Y13_N16 +cycloneii_lcell_comb \inst|inst4|inst35|dffs[1]~feeder ( +// Equation(s): +// \inst|inst4|inst35|dffs[1]~feeder_combout = \inst|inst4|inst34|$00000|auto_generated|result_node[1]~4_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6]~regout ), + .datad(\inst|inst4|inst34|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][6]~feeder_combout ), + .combout(\inst|inst4|inst35|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][6]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][6]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst35|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst35|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X16_Y18_N22 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4]~feeder ( +// Location: LCCOMB_X25_Y10_N10 +cycloneii_lcell_comb \inst|inst4|inst77|dffs[1]~feeder ( +// Equation(s): +// \inst|inst4|inst77|dffs[1]~feeder_combout = \inst|inst4|inst76|$00000|auto_generated|result_node[1]~4_combout + .dataa(vcc), - .datab(vcc), + .datab(\inst|inst4|inst76|$00000|auto_generated|result_node[1]~4_combout ), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][4]~regout ), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4]~feeder_combout ), + .combout(\inst|inst4|inst77|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst77|dffs[1]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst77|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y16_N6 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][7]~feeder ( +// Location: LCCOMB_X24_Y10_N14 +cycloneii_lcell_comb \inst|inst4|inst75|dffs[1]~feeder ( +// Equation(s): +// \inst|inst4|inst75|dffs[1]~feeder_combout = \inst|inst4|inst74|$00000|auto_generated|result_node[1]~4_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7]~regout ), + .datad(\inst|inst4|inst74|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][7]~feeder_combout ), + .combout(\inst|inst4|inst75|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][7]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][7]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst75|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst75|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y16_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6]~feeder ( +// Location: LCCOMB_X25_Y10_N20 +cycloneii_lcell_comb \inst|inst4|inst79|dffs[1]~feeder ( +// Equation(s): +// \inst|inst4|inst79|dffs[1]~feeder_combout = \inst|inst4|inst78|$00000|auto_generated|result_node[1]~4_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][6]~regout ), + .datad(\inst|inst4|inst78|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6]~feeder_combout ), + .combout(\inst|inst4|inst79|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst79|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst79|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X16_Y18_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][5]~feeder ( +// Location: LCCOMB_X29_Y10_N20 +cycloneii_lcell_comb \inst|inst4|inst91|dffs[1]~feeder ( +// Equation(s): +// \inst|inst4|inst91|dffs[1]~feeder_combout = \inst|inst4|inst90|$00000|auto_generated|result_node[1]~4_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][5]~regout ), + .datad(\inst|inst4|inst90|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][5]~feeder_combout ), + .combout(\inst|inst4|inst91|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][5]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][5]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst91|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst91|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y17_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][8]~feeder ( +// Location: LCCOMB_X29_Y11_N0 +cycloneii_lcell_comb \inst|inst4|inst89|dffs[1]~feeder ( +// Equation(s): +// \inst|inst4|inst89|dffs[1]~feeder_combout = \inst|inst4|inst88|$00000|auto_generated|result_node[1]~4_combout + .dataa(vcc), - .datab(vcc), + .datab(\inst|inst4|inst88|$00000|auto_generated|result_node[1]~4_combout ), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8]~regout ), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][8]~feeder_combout ), + .combout(\inst|inst4|inst89|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][8]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][8]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst89|dffs[1]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst89|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y16_N10 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7]~feeder ( +// Location: LCCOMB_X30_Y12_N24 +cycloneii_lcell_comb \inst|inst4|inst93|dffs[1]~feeder ( +// Equation(s): +// \inst|inst4|inst93|dffs[1]~feeder_combout = \inst|inst4|inst92|$00000|auto_generated|result_node[1]~4_combout + .dataa(vcc), - .datab(vcc), + .datab(\inst|inst4|inst92|$00000|auto_generated|result_node[1]~4_combout ), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][7]~regout ), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7]~feeder_combout ), + .combout(\inst|inst4|inst93|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst93|dffs[1]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst93|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y17_N6 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8]~feeder ( +// Location: LCCOMB_X26_Y11_N8 +cycloneii_lcell_comb \inst|inst4|inst82|dffs[1]~feeder ( +// Equation(s): +// \inst|inst4|inst82|dffs[1]~feeder_combout = \inst|inst4|inst83|$00000|auto_generated|result_node[1]~4_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8]~regout ), + .datad(\inst|inst4|inst83|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8]~feeder_combout ), + .combout(\inst|inst4|inst82|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst82|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst82|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y16_N22 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][7]~feeder ( +// Location: LCCOMB_X26_Y13_N0 +cycloneii_lcell_comb \inst|inst4|inst87|dffs[1]~feeder ( +// Equation(s): +// \inst|inst4|inst87|dffs[1]~feeder_combout = \inst|inst4|inst86|$00000|auto_generated|result_node[1]~4_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][7]~regout ), + .datad(\inst|inst4|inst86|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][7]~feeder_combout ), + .combout(\inst|inst4|inst87|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][7]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][7]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst87|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst87|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X11_Y21_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][9]~feeder ( +// Location: LCCOMB_X26_Y13_N26 +cycloneii_lcell_comb \inst|inst4|inst85|dffs[1]~feeder ( +// Equation(s): +// \inst|inst4|inst85|dffs[1]~feeder_combout = \inst|inst4|inst84|$00000|auto_generated|result_node[1]~4_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][9]~regout ), + .datad(\inst|inst4|inst84|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][9]~feeder_combout ), + .combout(\inst|inst4|inst85|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][9]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][9]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst85|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst85|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y17_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8]~feeder ( +// Location: LCCOMB_X35_Y13_N6 +cycloneii_lcell_comb \inst|inst4|inst9|dffs[0]~feeder ( +// Equation(s): +// \inst|inst4|inst9|dffs[0]~feeder_combout = \inst|inst4|inst8|$00000|auto_generated|result_node[0]~5_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][8]~regout ), + .datad(\inst|inst4|inst8|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8]~feeder_combout ), + .combout(\inst|inst4|inst9|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst9|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst9|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y19_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][11]~feeder ( +// Location: LCCOMB_X35_Y12_N12 +cycloneii_lcell_comb \inst|inst4|inst14|dffs[0]~feeder ( +// Equation(s): +// \inst|inst4|inst14|dffs[0]~feeder_combout = \inst|inst4|inst15|$00000|auto_generated|result_node[0]~5_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][11]~regout ), + .datad(\inst|inst4|inst15|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][11]~feeder_combout ), + .combout(\inst|inst4|inst14|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][11]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][11]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst14|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst14|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y21_N4 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10]~feeder ( +// Location: LCCOMB_X37_Y14_N10 +cycloneii_lcell_comb \inst|inst4|inst5|dffs[0]~feeder ( +// Equation(s): +// \inst|inst4|inst5|dffs[0]~feeder_combout = \inst|inst4|inst4|$00000|auto_generated|result_node[0]~5_combout + .dataa(vcc), - .datab(vcc), + .datab(\inst|inst4|inst4|$00000|auto_generated|result_node[0]~5_combout ), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][10]~regout ), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10]~feeder_combout ), + .combout(\inst|inst4|inst5|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst5|dffs[0]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst5|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y21_N4 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][12]~feeder ( +// Location: LCCOMB_X36_Y14_N12 +cycloneii_lcell_comb \inst|inst4|inst2|dffs[0]~feeder ( +// Equation(s): +// \inst|inst4|inst2|dffs[0]~feeder_combout = \inst|inst4|inst3|$00000|auto_generated|result_node[0]~5_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][12]~regout ), + .datad(\inst|inst4|inst3|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][12]~feeder_combout ), + .combout(\inst|inst4|inst2|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][12]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][12]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst2|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst2|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y21_N16 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][13]~feeder ( +// Location: LCCOMB_X36_Y14_N14 +cycloneii_lcell_comb \inst|inst4|inst|dffs[0]~feeder ( +// Equation(s): +// \inst|inst4|inst|dffs[0]~feeder_combout = \inst|inst4|inst1|$00000|auto_generated|result_node[0]~4_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][13]~regout ), + .datad(\inst|inst4|inst1|$00000|auto_generated|result_node[0]~4_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][13]~feeder_combout ), + .combout(\inst|inst4|inst|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][13]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][13]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y19_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][11]~feeder ( +// Location: LCCOMB_X37_Y14_N28 +cycloneii_lcell_comb \inst|inst4|inst7|dffs[0]~feeder ( +// Equation(s): +// \inst|inst4|inst7|dffs[0]~feeder_combout = \inst|inst4|inst6|$00000|auto_generated|result_node[0]~5_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][11]~regout ), + .datad(\inst|inst4|inst6|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][11]~feeder_combout ), + .combout(\inst|inst4|inst7|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][11]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][11]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst7|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst7|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y21_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12]~feeder ( +// Location: LCCOMB_X31_Y13_N4 +cycloneii_lcell_comb \inst|inst4|inst31|dffs[0]~feeder ( +// Equation(s): +// \inst|inst4|inst31|dffs[0]~feeder_combout = \inst|inst4|inst30|$00000|auto_generated|result_node[0]~5_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][12]~regout ), + .datad(\inst|inst4|inst30|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12]~feeder_combout ), + .combout(\inst|inst4|inst31|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst31|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst31|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X11_Y21_N16 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][14]~feeder ( +// Location: LCCOMB_X32_Y14_N4 +cycloneii_lcell_comb \inst|inst4|inst25|dffs[0]~feeder ( +// Equation(s): +// \inst|inst4|inst25|dffs[0]~feeder_combout = \inst|inst4|inst24|$00000|auto_generated|result_node[0]~5_combout + .dataa(vcc), - .datab(vcc), + .datab(\inst|inst4|inst24|$00000|auto_generated|result_node[0]~5_combout ), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][14]~regout ), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][14]~feeder_combout ), + .combout(\inst|inst4|inst25|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][14]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][14]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst25|dffs[0]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst25|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y21_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][13]~feeder ( +// Location: LCCOMB_X33_Y13_N6 +cycloneii_lcell_comb \inst|inst4|inst17|dffs[0]~feeder ( +// Equation(s): +// \inst|inst4|inst17|dffs[0]~feeder_combout = \inst|inst4|inst16|$00000|auto_generated|result_node[0]~5_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13]~regout ), + .datad(\inst|inst4|inst16|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][13]~feeder_combout ), + .combout(\inst|inst4|inst17|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][13]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][13]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst17|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst17|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X10_Y19_N30 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][16]~feeder ( +// Location: LCCOMB_X29_Y14_N26 +cycloneii_lcell_comb \inst|inst4|inst101|dffs[0]~feeder ( +// Equation(s): +// \inst|inst4|inst101|dffs[0]~feeder_combout = \inst|inst4|inst100|$00000|auto_generated|result_node[0]~5_combout + .dataa(vcc), - .datab(vcc), + .datab(\inst|inst4|inst100|$00000|auto_generated|result_node[0]~5_combout ), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16]~regout ), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][16]~feeder_combout ), + .combout(\inst|inst4|inst101|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][16]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][16]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst101|dffs[0]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst101|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X11_Y19_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][15]~feeder ( +// Location: LCCOMB_X29_Y13_N18 +cycloneii_lcell_comb \inst|inst4|inst99|dffs[0]~feeder ( +// Equation(s): +// \inst|inst4|inst99|dffs[0]~feeder_combout = \inst|inst4|inst98|$00000|auto_generated|result_node[0]~5_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15]~regout ), + .datad(\inst|inst4|inst98|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][15]~feeder_combout ), + .combout(\inst|inst4|inst99|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][15]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][15]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst99|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst99|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X11_Y21_N10 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][14]~feeder ( +// Location: LCCOMB_X30_Y13_N26 +cycloneii_lcell_comb \inst|inst4|inst96|dffs[0]~feeder ( +// Equation(s): +// \inst|inst4|inst96|dffs[0]~feeder_combout = \inst|inst4|inst97|$00000|auto_generated|result_node[0]~5_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14]~regout ), + .datad(\inst|inst4|inst97|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][14]~feeder_combout ), + .combout(\inst|inst4|inst96|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][14]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][14]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst96|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst96|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X10_Y19_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16]~feeder ( +// Location: LCCOMB_X29_Y14_N28 +cycloneii_lcell_comb \inst|inst4|inst103|dffs[0]~feeder ( +// Equation(s): +// \inst|inst4|inst103|dffs[0]~feeder_combout = \inst|inst4|inst102|$00000|auto_generated|result_node[0]~5_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16]~regout ), + .datad(\inst|inst4|inst102|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16]~feeder_combout ), + .combout(\inst|inst4|inst103|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst103|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst103|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X11_Y19_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15]~feeder ( +// Location: LCCOMB_X31_Y14_N10 +cycloneii_lcell_comb \inst|inst4|inst37|dffs[0]~feeder ( +// Equation(s): +// \inst|inst4|inst37|dffs[0]~feeder_combout = \inst|inst4|inst36|$00000|auto_generated|result_node[0]~5_combout + .dataa(vcc), - .datab(vcc), + .datab(\inst|inst4|inst36|$00000|auto_generated|result_node[0]~5_combout ), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][15]~regout ), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15]~feeder_combout ), + .combout(\inst|inst4|inst37|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst37|dffs[0]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst37|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X10_Y19_N6 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16]~feeder ( +// Location: LCCOMB_X25_Y13_N4 +cycloneii_lcell_comb \inst|inst4|inst35|dffs[0]~feeder ( +// Equation(s): +// \inst|inst4|inst35|dffs[0]~feeder_combout = \inst|inst4|inst34|$00000|auto_generated|result_node[0]~5_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][16]~regout ), + .datad(\inst|inst4|inst34|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16]~feeder_combout ), + .combout(\inst|inst4|inst35|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst35|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst35|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y20_N26 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][19]~feeder ( +// Location: LCCOMB_X31_Y12_N14 +cycloneii_lcell_comb \inst|inst4|inst33|dffs[0]~feeder ( +// Equation(s): +// \inst|inst4|inst33|dffs[0]~feeder_combout = \inst|inst4|inst32|$00000|auto_generated|result_node[0]~5_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][19]~regout ), + .datad(\inst|inst4|inst32|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][19]~feeder_combout ), + .combout(\inst|inst4|inst33|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][19]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][19]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst33|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst33|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X16_Y18_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][18]~feeder ( +// Location: LCCOMB_X27_Y10_N20 +cycloneii_lcell_comb \inst|inst4|inst77|dffs[0]~feeder ( +// Equation(s): +// \inst|inst4|inst77|dffs[0]~feeder_combout = \inst|inst4|inst76|$00000|auto_generated|result_node[0]~5_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][18]~regout ), + .datad(\inst|inst4|inst76|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][18]~feeder_combout ), + .combout(\inst|inst4|inst77|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][18]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][18]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst77|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst77|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y20_N28 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][19]~feeder ( +// Location: LCCOMB_X24_Y10_N18 +cycloneii_lcell_comb \inst|inst4|inst75|dffs[0]~feeder ( +// Equation(s): +// \inst|inst4|inst75|dffs[0]~feeder_combout = \inst|inst4|inst74|$00000|auto_generated|result_node[0]~5_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][19]~regout ), + .datad(\inst|inst4|inst74|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][19]~feeder_combout ), + .combout(\inst|inst4|inst75|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][19]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][19]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst75|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst75|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y19_N22 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][21]~feeder ( +// Location: LCCOMB_X29_Y10_N14 +cycloneii_lcell_comb \inst|inst4|inst91|dffs[0]~feeder ( +// Equation(s): +// \inst|inst4|inst91|dffs[0]~feeder_combout = \inst|inst4|inst90|$00000|auto_generated|result_node[0]~5_combout + .dataa(vcc), - .datab(vcc), + .datab(\inst|inst4|inst90|$00000|auto_generated|result_node[0]~5_combout ), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][21]~regout ), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][21]~feeder_combout ), + .combout(\inst|inst4|inst91|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][21]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][21]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst91|dffs[0]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst91|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X11_Y18_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][20]~feeder ( +// Location: LCCOMB_X29_Y11_N18 +cycloneii_lcell_comb \inst|inst4|inst89|dffs[0]~feeder ( +// Equation(s): +// \inst|inst4|inst89|dffs[0]~feeder_combout = \inst|inst4|inst88|$00000|auto_generated|result_node[0]~5_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][20]~regout ), + .datad(\inst|inst4|inst88|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][20]~feeder_combout ), + .combout(\inst|inst4|inst89|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][20]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][20]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst89|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst89|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X10_Y19_N14 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][22]~feeder ( - .dataa(vcc), +// Location: LCCOMB_X29_Y12_N14 +cycloneii_lcell_comb \inst|inst4|inst95|dffs[0]~feeder ( +// Equation(s): +// \inst|inst4|inst95|dffs[0]~feeder_combout = \inst|inst4|inst94|$00000|auto_generated|result_node[0]~5_combout + + .dataa(\inst|inst4|inst94|$00000|auto_generated|result_node[0]~5_combout ), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22]~regout ), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][22]~feeder_combout ), + .combout(\inst|inst4|inst95|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][22]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][22]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst95|dffs[0]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst95|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y19_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][21]~feeder ( +// Location: LCCOMB_X29_Y12_N0 +cycloneii_lcell_comb \inst|inst4|inst93|dffs[0]~feeder ( +// Equation(s): +// \inst|inst4|inst93|dffs[0]~feeder_combout = \inst|inst4|inst92|$00000|auto_generated|result_node[0]~5_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][21]~regout ), + .datad(\inst|inst4|inst92|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][21]~feeder_combout ), + .combout(\inst|inst4|inst93|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][21]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][21]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst93|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst93|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X11_Y18_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][20]~feeder ( +// Location: LCCOMB_X27_Y11_N4 +cycloneii_lcell_comb \inst|inst4|inst87|dffs[0]~feeder ( +// Equation(s): +// \inst|inst4|inst87|dffs[0]~feeder_combout = \inst|inst4|inst86|$00000|auto_generated|result_node[0]~5_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20]~regout ), + .datad(\inst|inst4|inst86|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][20]~feeder_combout ), + .combout(\inst|inst4|inst87|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][20]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][20]~feeder .sum_lutc_input = "datac"; +defparam \inst|inst4|inst87|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst87|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y19_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][23]~feeder ( +// Location: LCCOMB_X24_Y16_N0 +cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[22]~feeder ( +// Equation(s): +// \auto_signaltap_0|acq_data_in_reg[22]~feeder_combout = \inst|inst7|Mux0~4_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][23]~regout ), + .datad(\inst|inst7|Mux0~4_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][23]~feeder_combout ), + .combout(\auto_signaltap_0|acq_data_in_reg[22]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][23]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][23]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|acq_data_in_reg[22]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_data_in_reg[22]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X10_Y19_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22]~feeder ( +// Location: LCCOMB_X38_Y18_N2 +cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[18]~feeder ( +// Equation(s): +// \auto_signaltap_0|acq_data_in_reg[18]~feeder_combout = \inst|inst7|Mux4~3_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22]~regout ), + .datad(\inst|inst7|Mux4~3_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22]~feeder_combout ), + .combout(\auto_signaltap_0|acq_data_in_reg[18]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|acq_data_in_reg[18]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_data_in_reg[18]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y19_N10 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][21]~feeder ( +// Location: LCCOMB_X23_Y18_N8 +cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[18]~feeder ( +// Equation(s): +// \auto_signaltap_0|acq_trigger_in_reg[18]~feeder_combout = \inst|inst7|Mux4~3_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][21]~regout ), + .datad(\inst|inst7|Mux4~3_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][21]~feeder_combout ), + .combout(\auto_signaltap_0|acq_trigger_in_reg[18]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][21]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][21]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|acq_trigger_in_reg[18]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_trigger_in_reg[18]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y14_N14 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][24]~feeder ( +// Location: LCCOMB_X25_Y19_N16 +cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[17]~feeder ( +// Equation(s): +// \auto_signaltap_0|acq_data_in_reg[17]~feeder_combout = \inst|inst7|Mux5~1_combout + .dataa(vcc), .datab(vcc), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24]~regout ), - .datad(vcc), + .datac(vcc), + .datad(\inst|inst7|Mux5~1_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][24]~feeder_combout ), + .combout(\auto_signaltap_0|acq_data_in_reg[17]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][24]~feeder .lut_mask = 16'hF0F0; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][24]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|acq_data_in_reg[17]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_data_in_reg[17]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X10_Y19_N26 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22]~feeder ( +// Location: LCCOMB_X24_Y18_N12 +cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[17]~feeder ( +// Equation(s): +// \auto_signaltap_0|acq_trigger_in_reg[17]~feeder_combout = \inst|inst7|Mux5~1_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22]~regout ), + .datad(\inst|inst7|Mux5~1_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22]~feeder_combout ), + .combout(\auto_signaltap_0|acq_trigger_in_reg[17]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|acq_trigger_in_reg[17]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_trigger_in_reg[17]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X18_Y21_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][25]~feeder ( +// Location: LCCOMB_X29_Y19_N18 +cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[21]~feeder ( +// Equation(s): +// \auto_signaltap_0|acq_data_in_reg[21]~feeder_combout = \inst|inst7|Mux1~1_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][25]~regout ), + .datad(\inst|inst7|Mux1~1_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][25]~feeder_combout ), + .combout(\auto_signaltap_0|acq_data_in_reg[21]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][25]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][25]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|acq_data_in_reg[21]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_data_in_reg[21]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y14_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24]~feeder ( +// Location: LCCOMB_X32_Y12_N28 +cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[24]~feeder ( +// Equation(s): +// \auto_signaltap_0|acq_data_in_reg[24]~feeder_combout = \~GND~combout + .dataa(vcc), .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24]~regout ), + .datac(\~GND~combout ), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24]~feeder_combout ), + .combout(\auto_signaltap_0|acq_data_in_reg[24]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|acq_data_in_reg[24]~feeder .lut_mask = 16'hF0F0; +defparam \auto_signaltap_0|acq_data_in_reg[24]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y19_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][23]~feeder ( +// Location: LCCOMB_X31_Y18_N0 +cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[11]~feeder ( +// Equation(s): +// \auto_signaltap_0|acq_trigger_in_reg[11]~feeder_combout = \inst|inst6|Mux4~0_wirecell_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][23]~regout ), + .datad(\inst|inst6|Mux4~0_wirecell_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][23]~feeder_combout ), + .combout(\auto_signaltap_0|acq_trigger_in_reg[11]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][23]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][23]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|acq_trigger_in_reg[11]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_trigger_in_reg[11]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y19_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][26]~feeder ( +// Location: LCCOMB_X32_Y15_N2 +cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[8]~feeder ( +// Equation(s): +// \auto_signaltap_0|acq_data_in_reg[8]~feeder_combout = \inst|inst4|inst119|auto_generated|aneb_result_wire[0]~_wirecell_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][26]~regout ), + .datad(\inst|inst4|inst119|auto_generated|aneb_result_wire[0]~_wirecell_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][26]~feeder_combout ), + .combout(\auto_signaltap_0|acq_data_in_reg[8]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][26]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][26]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|acq_data_in_reg[8]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_data_in_reg[8]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X18_Y21_N22 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][25]~feeder ( +// Location: LCCOMB_X32_Y18_N18 +cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[8]~feeder ( +// Equation(s): +// \auto_signaltap_0|acq_trigger_in_reg[8]~feeder_combout = \inst|inst4|inst119|auto_generated|aneb_result_wire[0]~_wirecell_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25]~regout ), + .datad(\inst|inst4|inst119|auto_generated|aneb_result_wire[0]~_wirecell_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][25]~feeder_combout ), + .combout(\auto_signaltap_0|acq_trigger_in_reg[8]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][25]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][25]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|acq_trigger_in_reg[8]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_trigger_in_reg[8]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y14_N18 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24]~feeder ( +// Location: LCCOMB_X32_Y15_N24 +cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[7]~feeder ( +// Equation(s): +// \auto_signaltap_0|acq_data_in_reg[7]~feeder_combout = \inst|inst4|inst120|auto_generated|aneb_result_wire[0]~_wirecell_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24]~regout ), + .datad(\inst|inst4|inst120|auto_generated|aneb_result_wire[0]~_wirecell_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24]~feeder_combout ), + .combout(\auto_signaltap_0|acq_data_in_reg[7]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|acq_data_in_reg[7]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_data_in_reg[7]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X16_Y16_N28 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][27]~feeder ( +// Location: LCCOMB_X32_Y18_N30 +cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[7]~feeder ( +// Equation(s): +// \auto_signaltap_0|acq_trigger_in_reg[7]~feeder_combout = \inst|inst4|inst120|auto_generated|aneb_result_wire[0]~_wirecell_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][27]~regout ), + .datad(\inst|inst4|inst120|auto_generated|aneb_result_wire[0]~_wirecell_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][27]~feeder_combout ), + .combout(\auto_signaltap_0|acq_trigger_in_reg[7]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][27]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][27]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|acq_trigger_in_reg[7]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_trigger_in_reg[7]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X18_Y21_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25]~feeder ( +// Location: LCCOMB_X37_Y18_N4 +cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[29]~feeder ( +// Equation(s): +// \auto_signaltap_0|acq_data_in_reg[29]~feeder_combout = \mode[1]~_wirecell_combout + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][25]~regout ), + .datad(\mode[1]~_wirecell_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25]~feeder_combout ), + .combout(\auto_signaltap_0|acq_data_in_reg[29]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|acq_data_in_reg[29]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_data_in_reg[29]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y19_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][26]~feeder ( +// Location: LCCOMB_X14_Y18_N8 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26]~regout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg [0]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][26]~feeder_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][26]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][26]~feeder .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~feeder .lut_mask = 16'hFF00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X18_Y21_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][29]~feeder ( +// Location: LCCOMB_X14_Y18_N18 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][29]~regout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg [1]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][29]~feeder_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][29]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][29]~feeder .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~feeder .lut_mask = 16'hFF00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X23_Y17_N16 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][28]~feeder ( +// Location: LCCOMB_X14_Y18_N28 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[1]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28]~regout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg [1]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][28]~feeder_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][28]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][28]~feeder .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[1]~feeder .lut_mask = 16'hFF00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X16_Y16_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27]~feeder ( +// Location: LCCOMB_X14_Y18_N30 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[1]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][27]~regout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg [2]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27]~feeder_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27]~feeder .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[1]~feeder .lut_mask = 16'hFF00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X16_Y16_N18 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][30]~feeder ( +// Location: LCCOMB_X42_Y17_N14 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][30]~regout ), + .datad(\auto_signaltap_0|acq_data_in_reg [1]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][30]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][30]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][30]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X23_Y17_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28]~feeder ( +// Location: LCCOMB_X36_Y15_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][28]~regout ), + .datad(\auto_signaltap_0|acq_data_in_reg [3]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y19_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][31]~feeder ( +// Location: LCCOMB_X33_Y18_N6 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][6]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][31]~regout ), + .datad(\auto_signaltap_0|acq_data_in_reg [6]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][31]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][6]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][31]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][31]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][6]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][6]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X16_Y16_N30 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][30]~feeder ( +// Location: LCCOMB_X32_Y15_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][8]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30]~regout ), + .datad(\auto_signaltap_0|acq_data_in_reg [8]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][30]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][8]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][30]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][30]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][8]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][8]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X18_Y21_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29]~feeder ( +// Location: LCCOMB_X30_Y18_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][9]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][29]~regout ), + .datad(\auto_signaltap_0|acq_data_in_reg [9]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][9]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][9]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][9]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X16_Y16_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30]~feeder ( +// Location: LCCOMB_X29_Y18_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30]~regout ), + .datad(\auto_signaltap_0|acq_data_in_reg [13]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y19_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][33]~feeder ( +// Location: LCCOMB_X37_Y18_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][33]~regout ), + .datad(\auto_signaltap_0|acq_data_in_reg [14]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][33]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][33]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][33]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y19_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][32]~feeder ( +// Location: LCCOMB_X38_Y18_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][18]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32]~regout ), + .datad(\auto_signaltap_0|acq_data_in_reg [18]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][32]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][18]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][32]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][32]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][18]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][18]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y19_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][31]~feeder ( +// Location: LCCOMB_X30_Y18_N14 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][31]~regout ), + .datad(\auto_signaltap_0|acq_data_in_reg [20]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][31]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][31]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][31]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y19_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32]~feeder ( +// Location: LCCOMB_X29_Y19_N24 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][21]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32]~regout ), + .datad(\auto_signaltap_0|acq_data_in_reg [21]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][21]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][21]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][21]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y19_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][35]~feeder ( +// Location: LCCOMB_X24_Y16_N28 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][35]~regout ), + .datad(\auto_signaltap_0|acq_data_in_reg [22]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][35]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][35]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][35]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y20_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][34]~feeder ( +// Location: LCCOMB_X32_Y12_N4 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34]~regout ), + .datad(\auto_signaltap_0|acq_data_in_reg [24]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][34]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][34]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][34]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y19_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][33]~feeder ( +// Location: LCCOMB_X30_Y15_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][33]~regout ), + .datad(\auto_signaltap_0|acq_data_in_reg [26]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][33]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][33]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][33]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X18_Y20_N6 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][36]~feeder ( +// Location: LCCOMB_X35_Y18_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][36]~regout ), + .datad(\auto_signaltap_0|acq_data_in_reg [30]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][36]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][36]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][36]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y20_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34]~feeder ( +// Location: LCCOMB_X34_Y18_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][34]~regout ), + .datad(\auto_signaltap_0|acq_data_in_reg [32]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X18_Y20_N18 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][36]~feeder ( +// Location: LCCOMB_X39_Y16_N28 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][36]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][36]~regout ), + .datad(\auto_signaltap_0|acq_data_in_reg [36]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][36]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][36]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][36]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][36]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][36]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][36]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X18_Y20_N22 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][36]~feeder ( +// Location: LCCOMB_X39_Y16_N14 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][37]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][36]~regout ), + .datad(\auto_signaltap_0|acq_data_in_reg [37]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][36]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][37]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][36]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][36]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][37]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][37]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y20_N6 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][39]~feeder ( +// Location: LCCOMB_X40_Y16_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][39]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][39]~regout ), + .datad(\auto_signaltap_0|acq_data_in_reg [39]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][39]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][39]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][39]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][39]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][39]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][39]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y20_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][38]~feeder ( +// Location: LCCOMB_X37_Y16_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][41]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][38]~regout ), + .datad(\auto_signaltap_0|acq_data_in_reg [41]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][38]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][41]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][38]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][38]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][41]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][41]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X18_Y20_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][37]~feeder ( +// Location: LCCOMB_X25_Y19_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][43]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][37]~regout ), + .datad(\auto_signaltap_0|acq_data_in_reg [43]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][37]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][43]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][37]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][37]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][43]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][43]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y20_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][40]~feeder ( +// Location: LCCOMB_X27_Y18_N28 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][44]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][40]~regout ), + .datad(\auto_signaltap_0|acq_data_in_reg [44]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][40]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][44]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][40]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][40]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][44]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][44]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y20_N10 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][39]~feeder ( +// Location: LCCOMB_X26_Y19_N14 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][45]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][39]~regout ), + .datad(\auto_signaltap_0|acq_data_in_reg [45]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][39]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][45]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][39]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][39]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][45]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][45]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X16_Y21_N10 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][41]~feeder ( +// Location: LCCOMB_X23_Y17_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][41]~regout ), + .datad(\auto_signaltap_0|acq_trigger_in_reg [0]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][41]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][41]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][41]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y20_N6 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][40]~feeder ( +// Location: LCCOMB_X23_Y17_N26 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][40]~regout ), + .datad(\auto_signaltap_0|acq_trigger_in_reg [1]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][40]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][40]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][40]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y20_N30 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][39]~feeder ( +// Location: LCCOMB_X31_Y17_N12 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][39]~regout ), + .datad(\auto_signaltap_0|acq_trigger_in_reg [3]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][39]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][39]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][39]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y20_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][42]~feeder ( +// Location: LCCOMB_X31_Y17_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][42]~regout ), + .datad(\auto_signaltap_0|acq_trigger_in_reg [4]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][42]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][42]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][42]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X16_Y21_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][41]~feeder ( +// Location: LCCOMB_X33_Y18_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][41]~regout ), + .datad(\auto_signaltap_0|acq_trigger_in_reg [6]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][41]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][41]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][41]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y20_N10 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][40]~feeder ( +// Location: LCCOMB_X32_Y18_N28 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][40]~regout ), + .datad(\auto_signaltap_0|acq_trigger_in_reg [7]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][40]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][40]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][40]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y20_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][43]~feeder ( +// Location: LCCOMB_X32_Y18_N12 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][43]~regout ), + .datad(\auto_signaltap_0|acq_trigger_in_reg [8]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][43]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][43]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][43]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y20_N16 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][42]~feeder ( +// Location: LCCOMB_X31_Y18_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][42]~regout ), + .datad(\auto_signaltap_0|acq_trigger_in_reg [9]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][42]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][42]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][42]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y21_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][45]~feeder ( +// Location: LCCOMB_X31_Y18_N12 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][45]~regout ), + .datad(\auto_signaltap_0|acq_trigger_in_reg [10]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][45]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][45]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][45]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X18_Y20_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][44]~feeder ( +// Location: LCCOMB_X31_Y18_N22 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][44]~regout ), + .datad(\auto_signaltap_0|acq_trigger_in_reg [11]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][44]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][44]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][44]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y20_N22 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][43]~feeder ( +// Location: LCCOMB_X26_Y18_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][43]~regout ), + .datad(\auto_signaltap_0|acq_trigger_in_reg [13]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][43]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][43]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][43]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y21_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][45]~feeder ( +// Location: LCCOMB_X25_Y18_N26 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][45]~regout ), + .datad(\auto_signaltap_0|acq_trigger_in_reg [14]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][45]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][45]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][45]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X22_Y18_N24 -cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[5]~feeder ( -// Equation(s): -// \auto_signaltap_0|acq_trigger_in_reg[5]~feeder_combout = \addr~combout [5] - +// Location: LCCOMB_X24_Y18_N6 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\addr~combout [5]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [15]), .cin(gnd), - .combout(\auto_signaltap_0|acq_trigger_in_reg[5]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_trigger_in_reg[5]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_trigger_in_reg[5]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X16_Y18_N2 -cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[5]~feeder ( -// Equation(s): -// \auto_signaltap_0|acq_data_in_reg[5]~feeder_combout = \addr~combout [5] - +// Location: LCCOMB_X24_Y18_N22 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:16:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\addr~combout [5]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [16]), .cin(gnd), - .combout(\auto_signaltap_0|acq_data_in_reg[5]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:16:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_data_in_reg[5]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_data_in_reg[5]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:16:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:16:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y19_N22 -cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[4]~feeder ( -// Equation(s): -// \auto_signaltap_0|acq_trigger_in_reg[4]~feeder_combout = \addr~combout [4] - +// Location: LCCOMB_X24_Y18_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\addr~combout [4]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [17]), .cin(gnd), - .combout(\auto_signaltap_0|acq_trigger_in_reg[4]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_trigger_in_reg[4]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_trigger_in_reg[4]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y19_N30 -cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[0]~feeder ( -// Equation(s): -// \auto_signaltap_0|acq_trigger_in_reg[0]~feeder_combout = \addr~combout [0] - +// Location: LCCOMB_X25_Y17_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\addr~combout [0]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [19]), .cin(gnd), - .combout(\auto_signaltap_0|acq_trigger_in_reg[0]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_trigger_in_reg[0]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_trigger_in_reg[0]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y19_N24 -cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[0]~feeder ( -// Equation(s): -// \auto_signaltap_0|acq_data_in_reg[0]~feeder_combout = \addr~combout [0] - +// Location: LCCOMB_X25_Y17_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\addr~combout [0]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [21]), .cin(gnd), - .combout(\auto_signaltap_0|acq_data_in_reg[0]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_data_in_reg[0]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_data_in_reg[0]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y19_N18 -cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[32]~feeder ( -// Equation(s): -// \auto_signaltap_0|acq_data_in_reg[32]~feeder_combout = \mode_segment~combout - +// Location: LCCOMB_X24_Y16_N12 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\mode_segment~combout ), + .datad(\auto_signaltap_0|acq_trigger_in_reg [22]), .cin(gnd), - .combout(\auto_signaltap_0|acq_data_in_reg[32]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_data_in_reg[32]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_data_in_reg[32]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y19_N16 -cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[31]~feeder ( -// Equation(s): -// \auto_signaltap_0|acq_data_in_reg[31]~feeder_combout = \mode~combout [1] - +// Location: LCCOMB_X25_Y16_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\mode~combout [1]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [23]), .cin(gnd), - .combout(\auto_signaltap_0|acq_data_in_reg[31]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_data_in_reg[31]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_data_in_reg[31]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y19_N10 -cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[31]~feeder ( -// Equation(s): -// \auto_signaltap_0|acq_trigger_in_reg[31]~feeder_combout = \mode~combout [1] - +// Location: LCCOMB_X29_Y16_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\mode~combout [1]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [25]), .cin(gnd), - .combout(\auto_signaltap_0|acq_trigger_in_reg[31]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_trigger_in_reg[31]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_trigger_in_reg[31]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y20_N28 -cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[30]~feeder ( -// Equation(s): -// \auto_signaltap_0|acq_trigger_in_reg[30]~feeder_combout = \mode~combout [0] - +// Location: LCCOMB_X29_Y16_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\mode~combout [0]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [26]), .cin(gnd), - .combout(\auto_signaltap_0|acq_trigger_in_reg[30]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_trigger_in_reg[30]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_trigger_in_reg[30]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y16_N24 -cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[6]~feeder ( -// Equation(s): -// \auto_signaltap_0|acq_data_in_reg[6]~feeder_combout = \button~combout - +// Location: LCCOMB_X29_Y18_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\button~combout ), + .datad(\auto_signaltap_0|acq_trigger_in_reg [31]), .cin(gnd), - .combout(\auto_signaltap_0|acq_data_in_reg[6]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|acq_data_in_reg[6]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|acq_data_in_reg[6]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y18_N0 -cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|counter_comb_bita0 ( -// Equation(s): -// \inst|inst4|inst115|auto_generated|counter_comb_bita0~combout = \inst|inst4|inst115|auto_generated|safe_q [0] $ (((VCC) # (!\inst|inst4|inst107~combout ))) -// \inst|inst4|inst115|auto_generated|counter_comb_bita0~COUT = CARRY(\inst|inst4|inst107~combout $ (!\inst|inst4|inst115|auto_generated|safe_q [0])) - - .dataa(\inst|inst4|inst107~combout ), - .datab(\inst|inst4|inst115|auto_generated|safe_q [0]), +// Location: LCCOMB_X29_Y17_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|holdff~feeder ( + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(vcc), + .datad(\auto_signaltap_0|acq_trigger_in_reg [32]), .cin(gnd), - .combout(\inst|inst4|inst115|auto_generated|counter_comb_bita0~combout ), - .cout(\inst|inst4|inst115|auto_generated|counter_comb_bita0~COUT )); + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|holdff~feeder_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst4|inst115|auto_generated|counter_comb_bita0 .lut_mask = 16'h3399; -defparam \inst|inst4|inst115|auto_generated|counter_comb_bita0 .sum_lutc_input = "cin"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y16_N12 -cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita0 ( -// Equation(s): -// \inst|inst12|auto_generated|counter_comb_bita0~combout = \inst|inst12|auto_generated|safe_q [0] $ (VCC) -// \inst|inst12|auto_generated|counter_comb_bita0~COUT = CARRY(\inst|inst12|auto_generated|safe_q [0]) - - .dataa(\inst|inst12|auto_generated|safe_q [0]), +// Location: LCCOMB_X29_Y17_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|holdff~feeder ( + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(vcc), + .datad(\auto_signaltap_0|acq_trigger_in_reg [33]), .cin(gnd), - .combout(\inst|inst12|auto_generated|counter_comb_bita0~combout ), - .cout(\inst|inst12|auto_generated|counter_comb_bita0~COUT )); + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|holdff~feeder_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst12|auto_generated|counter_comb_bita0 .lut_mask = 16'h55AA; -defparam \inst|inst12|auto_generated|counter_comb_bita0 .sum_lutc_input = "cin"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y16_N14 -cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita1 ( -// Equation(s): -// \inst|inst12|auto_generated|counter_comb_bita1~combout = (\inst|inst12|auto_generated|safe_q [1] & (!\inst|inst12|auto_generated|counter_comb_bita0~COUT )) # (!\inst|inst12|auto_generated|safe_q [1] & ((\inst|inst12|auto_generated|counter_comb_bita0~COUT -// ) # (GND))) -// \inst|inst12|auto_generated|counter_comb_bita1~COUT = CARRY((!\inst|inst12|auto_generated|counter_comb_bita0~COUT ) # (!\inst|inst12|auto_generated|safe_q [1])) - +// Location: LCCOMB_X33_Y17_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|holdff~feeder ( .dataa(vcc), - .datab(\inst|inst12|auto_generated|safe_q [1]), + .datab(vcc), .datac(vcc), - .datad(vcc), - .cin(\inst|inst12|auto_generated|counter_comb_bita0~COUT ), + .datad(\auto_signaltap_0|acq_trigger_in_reg [34]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|holdff~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|holdff~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y17_N22 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|holdff~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|acq_trigger_in_reg [35]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|holdff~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|holdff~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X26_Y16_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|holdff~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|acq_trigger_in_reg [42]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|holdff~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|holdff~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X21_Y15_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[0]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[0]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[0]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[0]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[0]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X21_Y15_N12 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[1]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[1]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[1]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[1]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[1]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X21_Y15_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[2]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[2]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[2]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[2]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[2]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X21_Y15_N4 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[3]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[3]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[3]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[3]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[3]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X21_Y15_N24 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[4]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[4]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[4]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X19_Y14_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[5]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[5]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[5]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X21_Y15_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[6]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[6]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[6]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[6]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[6]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X18_Y15_N22 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~0_combout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X18_Y13_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X18_Y15_N26 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[1]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~1_combout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[1]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[1]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[1]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X18_Y15_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[6]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~6_combout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[6]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[6]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[6]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X18_Y13_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][1]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [0]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][1]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][1]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][1]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X18_Y13_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [1]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X18_Y13_N14 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [2]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X21_Y15_N6 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X21_Y15_N26 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X21_Y14_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][10]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][10]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][10]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][10]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X21_Y14_N14 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][11]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][11]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][11]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][11]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X21_Y14_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][13]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][13]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][13]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][13]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X21_Y15_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][13]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][13]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][13]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][13]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X21_Y15_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][14]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][14]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][14]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][14]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X42_Y17_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][1]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][1]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][1]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][1]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y15_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][2]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][2]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][2]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][2]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][2]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X42_Y17_N6 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][1]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X42_Y17_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][0]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y15_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][3]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][3]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][3]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][3]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y15_N28 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][2]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][2]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][2]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][2]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X34_Y18_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][4]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][4]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][4]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y15_N22 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][3]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y15_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][2]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y18_N24 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][5]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][5]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][5]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X34_Y18_N22 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][4]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][4]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y15_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][3]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][3]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][3]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][3]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y18_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][6]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][6]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][6]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][6]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y18_N12 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][5]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][5]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][5]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X34_Y18_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][4]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y15_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][7]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][7]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][7]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][7]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y18_N22 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][6]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y15_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][8]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][8]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][8]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][8]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y15_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][7]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y18_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][6]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][6]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][6]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][6]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][6]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y18_N24 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][9]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][9]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][9]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][9]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][9]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y15_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y18_N14 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][10]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][10]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][10]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][10]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y18_N26 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][9]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][9]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][9]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][9]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][9]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y15_N6 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][8]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y18_N12 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][11]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][11]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][11]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][11]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][11]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y18_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][10]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y18_N6 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][9]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][9]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][9]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][9]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][9]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y18_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][12]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][12]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][12]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][12]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][12]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y18_N26 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][10]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][10]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][10]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][10]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][10]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y18_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][13]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][13]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][13]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][13]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][13]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y18_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][12]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][12]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][12]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][12]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y18_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][11]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][11]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][11]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][11]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][11]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y18_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][13]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][13]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][13]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][13]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][13]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y18_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][12]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y18_N24 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][14]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][14]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][14]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][14]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][14]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y18_N12 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][16]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][16]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][16]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][16]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X27_Y18_N24 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][15]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][15]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][15]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][15]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y19_N22 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][17]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][17]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][17]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][17]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][17]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y18_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X27_Y18_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][15]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y18_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][18]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][18]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][18]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][18]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][18]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y19_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][17]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][17]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][17]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][17]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][17]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y18_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][16]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y19_N26 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][19]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][19]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][19]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][19]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][19]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X25_Y19_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][17]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][17]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][17]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][17]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][17]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y18_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][20]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][20]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][20]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][20]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][20]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X38_Y18_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][18]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][18]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][18]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][18]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][18]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y19_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][21]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][21]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][21]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][21]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][21]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y18_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][20]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][20]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][20]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][20]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][20]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y19_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][21]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][21]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][21]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][21]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][21]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y15_N4 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][23]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][23]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][23]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][23]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][23]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y16_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y12_N6 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][24]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24]~regout ), + .datad(vcc), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][24]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][24]~feeder .lut_mask = 16'hF0F0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][24]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y15_N22 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][23]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][23]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][23]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][23]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][23]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y16_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y16_N6 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][25]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][25]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][25]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][25]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][25]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y12_N24 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y15_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][23]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][23]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][23]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][23]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][23]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y15_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][26]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][26]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][26]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][26]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][26]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y16_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][25]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][25]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][25]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][25]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y12_N26 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24]~regout ), + .datad(vcc), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24]~feeder .lut_mask = 16'hF0F0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y18_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][27]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][27]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][27]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][27]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][27]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y16_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][25]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X27_Y16_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][28]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][28]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][28]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][28]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][28]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y18_N22 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][27]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][27]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][27]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][27]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y15_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][26]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][26]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][26]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][26]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X27_Y16_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][28]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][28]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][28]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][28]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y18_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][27]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y18_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][30]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][30]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][30]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][30]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][30]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y18_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][29]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][29]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][29]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][29]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X27_Y16_N4 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][28]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y19_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][31]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][31]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][31]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][31]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][31]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y18_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][29]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X34_Y18_N12 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][32]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][32]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][32]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][32]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][32]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y19_N12 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][31]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][31]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][31]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][31]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][31]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y18_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y15_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][33]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][33]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][33]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][33]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][33]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y19_N6 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][31]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][31]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][31]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][31]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][31]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X34_Y17_N6 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][34]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][34]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][34]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][34]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][34]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X34_Y18_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X42_Y17_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][35]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][35]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][35]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][35]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][35]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X34_Y17_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][34]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][34]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][34]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][34]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y16_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][36]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][36]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][36]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][36]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][36]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X42_Y17_N26 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][35]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][35]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][35]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][35]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][35]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X34_Y17_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][34]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y16_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][37]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][37]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][37]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][37]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][37]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X42_Y17_N12 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][35]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][35]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][35]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][35]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][35]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y16_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][38]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][38]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][38]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][38]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][38]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y16_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][37]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][37]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][37]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][37]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][37]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y16_N24 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][36]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][36]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][36]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][36]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][36]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y16_N6 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][39]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][39]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][39]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][39]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][39]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y16_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][38]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][38]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][38]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][38]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][38]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y16_N4 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][40]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][40]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][40]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][40]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][40]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y16_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][39]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][39]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][39]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][39]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][39]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y16_N22 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][41]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][41]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][41]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][41]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][41]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y16_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][40]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][40]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][40]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][40]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][40]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X40_Y16_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][39]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][39]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][39]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][39]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][39]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y16_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][42]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][42]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][42]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][42]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][42]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y16_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][41]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][41]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][41]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][41]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][41]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X25_Y19_N24 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][43]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][43]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][43]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][43]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][43]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y16_N6 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][41]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][41]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][41]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][41]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][41]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y16_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][42]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][42]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][42]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][42]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][42]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X26_Y19_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][45]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][45]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][45]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][45]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][45]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X27_Y18_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][44]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][44]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][44]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][44]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][44]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X25_Y19_N4 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][43]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][43]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][43]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][43]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][43]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X26_Y19_N26 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][45]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][45]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][45]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][45]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][45]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X27_Y18_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][44]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][44]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][44]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][44]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][44]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y15_N24 +cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[2]~feeder ( +// Equation(s): +// \auto_signaltap_0|acq_data_in_reg[2]~feeder_combout = \addr~combout [2] + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\addr~combout [2]), + .cin(gnd), + .combout(\auto_signaltap_0|acq_data_in_reg[2]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|acq_data_in_reg[2]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_data_in_reg[2]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X23_Y15_N8 +cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[2]~feeder ( +// Equation(s): +// \auto_signaltap_0|acq_trigger_in_reg[2]~feeder_combout = \addr~combout [2] + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\addr~combout [2]), + .cin(gnd), + .combout(\auto_signaltap_0|acq_trigger_in_reg[2]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|acq_trigger_in_reg[2]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_trigger_in_reg[2]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y15_N6 +cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[3]~feeder ( +// Equation(s): +// \auto_signaltap_0|acq_trigger_in_reg[3]~feeder_combout = \addr~combout [3] + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\addr~combout [3]), + .cin(gnd), + .combout(\auto_signaltap_0|acq_trigger_in_reg[3]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|acq_trigger_in_reg[3]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_trigger_in_reg[3]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y15_N2 +cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[3]~feeder ( +// Equation(s): +// \auto_signaltap_0|acq_data_in_reg[3]~feeder_combout = \addr~combout [3] + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\addr~combout [3]), + .cin(gnd), + .combout(\auto_signaltap_0|acq_data_in_reg[3]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|acq_data_in_reg[3]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_data_in_reg[3]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X34_Y18_N10 +cycloneii_lcell_comb \auto_signaltap_0|acq_data_in_reg[32]~feeder ( +// Equation(s): +// \auto_signaltap_0|acq_data_in_reg[32]~feeder_combout = \mode_segment~combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\mode_segment~combout ), + .cin(gnd), + .combout(\auto_signaltap_0|acq_data_in_reg[32]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|acq_data_in_reg[32]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_data_in_reg[32]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y15_N0 +cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|counter_comb_bita0 ( +// Equation(s): +// \inst|inst4|inst115|auto_generated|counter_comb_bita0~combout = \inst|inst4|inst115|auto_generated|safe_q [0] $ (((VCC) # (!\inst|inst4|inst107~combout ))) +// \inst|inst4|inst115|auto_generated|counter_comb_bita0~COUT = CARRY(\inst|inst4|inst107~combout $ (!\inst|inst4|inst115|auto_generated|safe_q [0])) + + .dataa(\inst|inst4|inst107~combout ), + .datab(\inst|inst4|inst115|auto_generated|safe_q [0]), + .datac(vcc), + .datad(vcc), + .cin(gnd), + .combout(\inst|inst4|inst115|auto_generated|counter_comb_bita0~combout ), + .cout(\inst|inst4|inst115|auto_generated|counter_comb_bita0~COUT )); +// synopsys translate_off +defparam \inst|inst4|inst115|auto_generated|counter_comb_bita0 .lut_mask = 16'h3399; +defparam \inst|inst4|inst115|auto_generated|counter_comb_bita0 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y13_N12 +cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita0 ( +// Equation(s): +// \inst|inst12|auto_generated|counter_comb_bita0~combout = \inst|inst12|auto_generated|safe_q [0] $ (VCC) +// \inst|inst12|auto_generated|counter_comb_bita0~COUT = CARRY(\inst|inst12|auto_generated|safe_q [0]) + + .dataa(\inst|inst12|auto_generated|safe_q [0]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(gnd), + .combout(\inst|inst12|auto_generated|counter_comb_bita0~combout ), + .cout(\inst|inst12|auto_generated|counter_comb_bita0~COUT )); +// synopsys translate_off +defparam \inst|inst12|auto_generated|counter_comb_bita0 .lut_mask = 16'h55AA; +defparam \inst|inst12|auto_generated|counter_comb_bita0 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y13_N14 +cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita1 ( +// Equation(s): +// \inst|inst12|auto_generated|counter_comb_bita1~combout = (\inst|inst12|auto_generated|safe_q [1] & (!\inst|inst12|auto_generated|counter_comb_bita0~COUT )) # (!\inst|inst12|auto_generated|safe_q [1] & ((\inst|inst12|auto_generated|counter_comb_bita0~COUT +// ) # (GND))) +// \inst|inst12|auto_generated|counter_comb_bita1~COUT = CARRY((!\inst|inst12|auto_generated|counter_comb_bita0~COUT ) # (!\inst|inst12|auto_generated|safe_q [1])) + + .dataa(vcc), + .datab(\inst|inst12|auto_generated|safe_q [1]), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst12|auto_generated|counter_comb_bita0~COUT ), .combout(\inst|inst12|auto_generated|counter_comb_bita1~combout ), .cout(\inst|inst12|auto_generated|counter_comb_bita1~COUT )); // synopsys translate_off -defparam \inst|inst12|auto_generated|counter_comb_bita1 .lut_mask = 16'h3C3F; -defparam \inst|inst12|auto_generated|counter_comb_bita1 .sum_lutc_input = "cin"; +defparam \inst|inst12|auto_generated|counter_comb_bita1 .lut_mask = 16'h3C3F; +defparam \inst|inst12|auto_generated|counter_comb_bita1 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: PIN_T21, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \rst~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\rst~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(rst)); +// synopsys translate_off +defparam \rst~I .input_async_reset = "none"; +defparam \rst~I .input_power_up = "low"; +defparam \rst~I .input_register_mode = "none"; +defparam \rst~I .input_sync_reset = "none"; +defparam \rst~I .oe_async_reset = "none"; +defparam \rst~I .oe_power_up = "low"; +defparam \rst~I .oe_register_mode = "none"; +defparam \rst~I .oe_sync_reset = "none"; +defparam \rst~I .operation_mode = "input"; +defparam \rst~I .output_async_reset = "none"; +defparam \rst~I .output_power_up = "low"; +defparam \rst~I .output_register_mode = "none"; +defparam \rst~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCFF_X37_Y13_N15 +cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[1] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst12|auto_generated|counter_comb_bita1~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\rst~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst12|auto_generated|safe_q [1])); + +// Location: LCCOMB_X37_Y13_N18 +cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita3 ( +// Equation(s): +// \inst|inst12|auto_generated|counter_comb_bita3~combout = (\inst|inst12|auto_generated|safe_q [3] & (!\inst|inst12|auto_generated|counter_comb_bita2~COUT )) # (!\inst|inst12|auto_generated|safe_q [3] & ((\inst|inst12|auto_generated|counter_comb_bita2~COUT +// ) # (GND))) +// \inst|inst12|auto_generated|counter_comb_bita3~COUT = CARRY((!\inst|inst12|auto_generated|counter_comb_bita2~COUT ) # (!\inst|inst12|auto_generated|safe_q [3])) + + .dataa(vcc), + .datab(\inst|inst12|auto_generated|safe_q [3]), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst12|auto_generated|counter_comb_bita2~COUT ), + .combout(\inst|inst12|auto_generated|counter_comb_bita3~combout ), + .cout(\inst|inst12|auto_generated|counter_comb_bita3~COUT )); +// synopsys translate_off +defparam \inst|inst12|auto_generated|counter_comb_bita3 .lut_mask = 16'h3C3F; +defparam \inst|inst12|auto_generated|counter_comb_bita3 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X37_Y13_N19 +cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[3] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst12|auto_generated|counter_comb_bita3~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\rst~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst12|auto_generated|safe_q [3])); + +// Location: LCCOMB_X37_Y13_N22 +cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita5 ( +// Equation(s): +// \inst|inst12|auto_generated|counter_comb_bita5~combout = (\inst|inst12|auto_generated|safe_q [5] & (!\inst|inst12|auto_generated|counter_comb_bita4~COUT )) # (!\inst|inst12|auto_generated|safe_q [5] & ((\inst|inst12|auto_generated|counter_comb_bita4~COUT +// ) # (GND))) +// \inst|inst12|auto_generated|counter_comb_bita5~COUT = CARRY((!\inst|inst12|auto_generated|counter_comb_bita4~COUT ) # (!\inst|inst12|auto_generated|safe_q [5])) + + .dataa(vcc), + .datab(\inst|inst12|auto_generated|safe_q [5]), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst12|auto_generated|counter_comb_bita4~COUT ), + .combout(\inst|inst12|auto_generated|counter_comb_bita5~combout ), + .cout(\inst|inst12|auto_generated|counter_comb_bita5~COUT )); +// synopsys translate_off +defparam \inst|inst12|auto_generated|counter_comb_bita5 .lut_mask = 16'h3C3F; +defparam \inst|inst12|auto_generated|counter_comb_bita5 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X37_Y13_N23 +cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst12|auto_generated|counter_comb_bita5~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\rst~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst12|auto_generated|safe_q [5])); + +// Location: LCCOMB_X37_Y13_N24 +cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita6 ( +// Equation(s): +// \inst|inst12|auto_generated|counter_comb_bita6~combout = (\inst|inst12|auto_generated|safe_q [6] & (\inst|inst12|auto_generated|counter_comb_bita5~COUT $ (GND))) # (!\inst|inst12|auto_generated|safe_q [6] & +// (!\inst|inst12|auto_generated|counter_comb_bita5~COUT & VCC)) +// \inst|inst12|auto_generated|counter_comb_bita6~COUT = CARRY((\inst|inst12|auto_generated|safe_q [6] & !\inst|inst12|auto_generated|counter_comb_bita5~COUT )) + + .dataa(\inst|inst12|auto_generated|safe_q [6]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst12|auto_generated|counter_comb_bita5~COUT ), + .combout(\inst|inst12|auto_generated|counter_comb_bita6~combout ), + .cout(\inst|inst12|auto_generated|counter_comb_bita6~COUT )); +// synopsys translate_off +defparam \inst|inst12|auto_generated|counter_comb_bita6 .lut_mask = 16'hA50A; +defparam \inst|inst12|auto_generated|counter_comb_bita6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y13_N26 +cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita7 ( +// Equation(s): +// \inst|inst12|auto_generated|counter_comb_bita7~combout = (\inst|inst12|auto_generated|safe_q [7] & (!\inst|inst12|auto_generated|counter_comb_bita6~COUT )) # (!\inst|inst12|auto_generated|safe_q [7] & ((\inst|inst12|auto_generated|counter_comb_bita6~COUT +// ) # (GND))) +// \inst|inst12|auto_generated|counter_comb_bita7~COUT = CARRY((!\inst|inst12|auto_generated|counter_comb_bita6~COUT ) # (!\inst|inst12|auto_generated|safe_q [7])) + + .dataa(vcc), + .datab(\inst|inst12|auto_generated|safe_q [7]), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst12|auto_generated|counter_comb_bita6~COUT ), + .combout(\inst|inst12|auto_generated|counter_comb_bita7~combout ), + .cout(\inst|inst12|auto_generated|counter_comb_bita7~COUT )); +// synopsys translate_off +defparam \inst|inst12|auto_generated|counter_comb_bita7 .lut_mask = 16'h3C3F; +defparam \inst|inst12|auto_generated|counter_comb_bita7 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X37_Y13_N27 +cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[7] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst12|auto_generated|counter_comb_bita7~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\rst~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst12|auto_generated|safe_q [7])); + +// Location: LCCOMB_X37_Y13_N28 +cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita8 ( +// Equation(s): +// \inst|inst12|auto_generated|counter_comb_bita8~combout = (\inst|inst12|auto_generated|safe_q [8] & (\inst|inst12|auto_generated|counter_comb_bita7~COUT $ (GND))) # (!\inst|inst12|auto_generated|safe_q [8] & +// (!\inst|inst12|auto_generated|counter_comb_bita7~COUT & VCC)) +// \inst|inst12|auto_generated|counter_comb_bita8~COUT = CARRY((\inst|inst12|auto_generated|safe_q [8] & !\inst|inst12|auto_generated|counter_comb_bita7~COUT )) + + .dataa(vcc), + .datab(\inst|inst12|auto_generated|safe_q [8]), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst12|auto_generated|counter_comb_bita7~COUT ), + .combout(\inst|inst12|auto_generated|counter_comb_bita8~combout ), + .cout(\inst|inst12|auto_generated|counter_comb_bita8~COUT )); +// synopsys translate_off +defparam \inst|inst12|auto_generated|counter_comb_bita8 .lut_mask = 16'hC30C; +defparam \inst|inst12|auto_generated|counter_comb_bita8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X37_Y13_N29 +cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[8] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst12|auto_generated|counter_comb_bita8~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\rst~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst12|auto_generated|safe_q [8])); + +// Location: LCCOMB_X37_Y13_N30 +cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita9 ( +// Equation(s): +// \inst|inst12|auto_generated|counter_comb_bita9~combout = (\inst|inst12|auto_generated|safe_q [9] & (!\inst|inst12|auto_generated|counter_comb_bita8~COUT )) # (!\inst|inst12|auto_generated|safe_q [9] & ((\inst|inst12|auto_generated|counter_comb_bita8~COUT +// ) # (GND))) +// \inst|inst12|auto_generated|counter_comb_bita9~COUT = CARRY((!\inst|inst12|auto_generated|counter_comb_bita8~COUT ) # (!\inst|inst12|auto_generated|safe_q [9])) + + .dataa(vcc), + .datab(\inst|inst12|auto_generated|safe_q [9]), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst12|auto_generated|counter_comb_bita8~COUT ), + .combout(\inst|inst12|auto_generated|counter_comb_bita9~combout ), + .cout(\inst|inst12|auto_generated|counter_comb_bita9~COUT )); +// synopsys translate_off +defparam \inst|inst12|auto_generated|counter_comb_bita9 .lut_mask = 16'h3C3F; +defparam \inst|inst12|auto_generated|counter_comb_bita9 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X37_Y13_N31 +cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[9] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst12|auto_generated|counter_comb_bita9~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\rst~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst12|auto_generated|safe_q [9])); + +// Location: LCCOMB_X37_Y12_N0 +cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita10 ( +// Equation(s): +// \inst|inst12|auto_generated|counter_comb_bita10~combout = (\inst|inst12|auto_generated|safe_q [10] & (\inst|inst12|auto_generated|counter_comb_bita9~COUT $ (GND))) # (!\inst|inst12|auto_generated|safe_q [10] & +// (!\inst|inst12|auto_generated|counter_comb_bita9~COUT & VCC)) +// \inst|inst12|auto_generated|counter_comb_bita10~COUT = CARRY((\inst|inst12|auto_generated|safe_q [10] & !\inst|inst12|auto_generated|counter_comb_bita9~COUT )) + + .dataa(vcc), + .datab(\inst|inst12|auto_generated|safe_q [10]), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst12|auto_generated|counter_comb_bita9~COUT ), + .combout(\inst|inst12|auto_generated|counter_comb_bita10~combout ), + .cout(\inst|inst12|auto_generated|counter_comb_bita10~COUT )); +// synopsys translate_off +defparam \inst|inst12|auto_generated|counter_comb_bita10 .lut_mask = 16'hC30C; +defparam \inst|inst12|auto_generated|counter_comb_bita10 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X37_Y12_N1 +cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[10] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst12|auto_generated|counter_comb_bita10~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\rst~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst12|auto_generated|safe_q [10])); + +// Location: LCCOMB_X37_Y12_N2 +cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita11 ( +// Equation(s): +// \inst|inst12|auto_generated|counter_comb_bita11~combout = (\inst|inst12|auto_generated|safe_q [11] & (!\inst|inst12|auto_generated|counter_comb_bita10~COUT )) # (!\inst|inst12|auto_generated|safe_q [11] & +// ((\inst|inst12|auto_generated|counter_comb_bita10~COUT ) # (GND))) +// \inst|inst12|auto_generated|counter_comb_bita11~COUT = CARRY((!\inst|inst12|auto_generated|counter_comb_bita10~COUT ) # (!\inst|inst12|auto_generated|safe_q [11])) + + .dataa(vcc), + .datab(\inst|inst12|auto_generated|safe_q [11]), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst12|auto_generated|counter_comb_bita10~COUT ), + .combout(\inst|inst12|auto_generated|counter_comb_bita11~combout ), + .cout(\inst|inst12|auto_generated|counter_comb_bita11~COUT )); +// synopsys translate_off +defparam \inst|inst12|auto_generated|counter_comb_bita11 .lut_mask = 16'h3C3F; +defparam \inst|inst12|auto_generated|counter_comb_bita11 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X37_Y12_N3 +cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[11] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst12|auto_generated|counter_comb_bita11~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\rst~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst12|auto_generated|safe_q [11])); + +// Location: LCCOMB_X37_Y12_N4 +cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita12 ( +// Equation(s): +// \inst|inst12|auto_generated|counter_comb_bita12~combout = (\inst|inst12|auto_generated|safe_q [12] & (\inst|inst12|auto_generated|counter_comb_bita11~COUT $ (GND))) # (!\inst|inst12|auto_generated|safe_q [12] & +// (!\inst|inst12|auto_generated|counter_comb_bita11~COUT & VCC)) +// \inst|inst12|auto_generated|counter_comb_bita12~COUT = CARRY((\inst|inst12|auto_generated|safe_q [12] & !\inst|inst12|auto_generated|counter_comb_bita11~COUT )) + + .dataa(vcc), + .datab(\inst|inst12|auto_generated|safe_q [12]), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst12|auto_generated|counter_comb_bita11~COUT ), + .combout(\inst|inst12|auto_generated|counter_comb_bita12~combout ), + .cout(\inst|inst12|auto_generated|counter_comb_bita12~COUT )); +// synopsys translate_off +defparam \inst|inst12|auto_generated|counter_comb_bita12 .lut_mask = 16'hC30C; +defparam \inst|inst12|auto_generated|counter_comb_bita12 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X37_Y12_N5 +cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[12] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst12|auto_generated|counter_comb_bita12~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\rst~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst12|auto_generated|safe_q [12])); + +// Location: LCCOMB_X37_Y12_N26 +cycloneii_lcell_comb \inst|inst11|auto_generated|aneb_result_wire[0]~2 ( +// Equation(s): +// \inst|inst11|auto_generated|aneb_result_wire[0]~2_combout = (((\inst|inst12|auto_generated|safe_q [12]) # (\inst|inst12|auto_generated|safe_q [11])) # (!\inst|inst12|auto_generated|safe_q [10])) # (!\inst|inst12|auto_generated|safe_q [9]) + + .dataa(\inst|inst12|auto_generated|safe_q [9]), + .datab(\inst|inst12|auto_generated|safe_q [10]), + .datac(\inst|inst12|auto_generated|safe_q [12]), + .datad(\inst|inst12|auto_generated|safe_q [11]), + .cin(gnd), + .combout(\inst|inst11|auto_generated|aneb_result_wire[0]~2_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst11|auto_generated|aneb_result_wire[0]~2 .lut_mask = 16'hFFF7; +defparam \inst|inst11|auto_generated|aneb_result_wire[0]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X37_Y13_N25 +cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[6] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst12|auto_generated|counter_comb_bita6~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\rst~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst12|auto_generated|safe_q [6])); + +// Location: LCCOMB_X37_Y13_N8 +cycloneii_lcell_comb \inst|inst11|auto_generated|aneb_result_wire[0]~3 ( +// Equation(s): +// \inst|inst11|auto_generated|aneb_result_wire[0]~3_combout = (((\inst|inst12|auto_generated|safe_q [5]) # (!\inst|inst12|auto_generated|safe_q [6])) # (!\inst|inst12|auto_generated|safe_q [7])) # (!\inst|inst12|auto_generated|safe_q [8]) + + .dataa(\inst|inst12|auto_generated|safe_q [8]), + .datab(\inst|inst12|auto_generated|safe_q [7]), + .datac(\inst|inst12|auto_generated|safe_q [6]), + .datad(\inst|inst12|auto_generated|safe_q [5]), + .cin(gnd), + .combout(\inst|inst11|auto_generated|aneb_result_wire[0]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst11|auto_generated|aneb_result_wire[0]~3 .lut_mask = 16'hFF7F; +defparam \inst|inst11|auto_generated|aneb_result_wire[0]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y12_N8 +cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita14 ( +// Equation(s): +// \inst|inst12|auto_generated|counter_comb_bita14~combout = (\inst|inst12|auto_generated|safe_q [14] & (\inst|inst12|auto_generated|counter_comb_bita13~COUT $ (GND))) # (!\inst|inst12|auto_generated|safe_q [14] & +// (!\inst|inst12|auto_generated|counter_comb_bita13~COUT & VCC)) +// \inst|inst12|auto_generated|counter_comb_bita14~COUT = CARRY((\inst|inst12|auto_generated|safe_q [14] & !\inst|inst12|auto_generated|counter_comb_bita13~COUT )) + + .dataa(vcc), + .datab(\inst|inst12|auto_generated|safe_q [14]), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst12|auto_generated|counter_comb_bita13~COUT ), + .combout(\inst|inst12|auto_generated|counter_comb_bita14~combout ), + .cout(\inst|inst12|auto_generated|counter_comb_bita14~COUT )); +// synopsys translate_off +defparam \inst|inst12|auto_generated|counter_comb_bita14 .lut_mask = 16'hC30C; +defparam \inst|inst12|auto_generated|counter_comb_bita14 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X37_Y12_N9 +cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[14] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst12|auto_generated|counter_comb_bita14~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\rst~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst12|auto_generated|safe_q [14])); + +// Location: LCCOMB_X37_Y12_N14 +cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita17 ( +// Equation(s): +// \inst|inst12|auto_generated|counter_comb_bita17~combout = (\inst|inst12|auto_generated|safe_q [17] & (!\inst|inst12|auto_generated|counter_comb_bita16~COUT )) # (!\inst|inst12|auto_generated|safe_q [17] & +// ((\inst|inst12|auto_generated|counter_comb_bita16~COUT ) # (GND))) +// \inst|inst12|auto_generated|counter_comb_bita17~COUT = CARRY((!\inst|inst12|auto_generated|counter_comb_bita16~COUT ) # (!\inst|inst12|auto_generated|safe_q [17])) + + .dataa(vcc), + .datab(\inst|inst12|auto_generated|safe_q [17]), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst12|auto_generated|counter_comb_bita16~COUT ), + .combout(\inst|inst12|auto_generated|counter_comb_bita17~combout ), + .cout(\inst|inst12|auto_generated|counter_comb_bita17~COUT )); +// synopsys translate_off +defparam \inst|inst12|auto_generated|counter_comb_bita17 .lut_mask = 16'h3C3F; +defparam \inst|inst12|auto_generated|counter_comb_bita17 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X37_Y12_N15 +cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[17] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst12|auto_generated|counter_comb_bita17~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\rst~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst12|auto_generated|safe_q [17])); + +// Location: LCCOMB_X37_Y12_N16 +cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita18 ( +// Equation(s): +// \inst|inst12|auto_generated|counter_comb_bita18~combout = (\inst|inst12|auto_generated|safe_q [18] & (\inst|inst12|auto_generated|counter_comb_bita17~COUT $ (GND))) # (!\inst|inst12|auto_generated|safe_q [18] & +// (!\inst|inst12|auto_generated|counter_comb_bita17~COUT & VCC)) +// \inst|inst12|auto_generated|counter_comb_bita18~COUT = CARRY((\inst|inst12|auto_generated|safe_q [18] & !\inst|inst12|auto_generated|counter_comb_bita17~COUT )) + + .dataa(\inst|inst12|auto_generated|safe_q [18]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst12|auto_generated|counter_comb_bita17~COUT ), + .combout(\inst|inst12|auto_generated|counter_comb_bita18~combout ), + .cout(\inst|inst12|auto_generated|counter_comb_bita18~COUT )); +// synopsys translate_off +defparam \inst|inst12|auto_generated|counter_comb_bita18 .lut_mask = 16'hA50A; +defparam \inst|inst12|auto_generated|counter_comb_bita18 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X37_Y12_N17 +cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[18] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst12|auto_generated|counter_comb_bita18~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\rst~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst12|auto_generated|safe_q [18])); + +// Location: LCFF_X37_Y12_N19 +cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[19] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst12|auto_generated|counter_comb_bita19~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\rst~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst12|auto_generated|safe_q [19])); + +// Location: LCCOMB_X37_Y12_N30 +cycloneii_lcell_comb \inst|inst11|auto_generated|aneb_result_wire[0]~0 ( +// Equation(s): +// \inst|inst11|auto_generated|aneb_result_wire[0]~0_combout = (\inst|inst12|auto_generated|safe_q [20]) # ((\inst|inst12|auto_generated|safe_q [17]) # ((\inst|inst12|auto_generated|safe_q [18]) # (\inst|inst12|auto_generated|safe_q [19]))) + + .dataa(\inst|inst12|auto_generated|safe_q [20]), + .datab(\inst|inst12|auto_generated|safe_q [17]), + .datac(\inst|inst12|auto_generated|safe_q [18]), + .datad(\inst|inst12|auto_generated|safe_q [19]), + .cin(gnd), + .combout(\inst|inst11|auto_generated|aneb_result_wire[0]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst11|auto_generated|aneb_result_wire[0]~0 .lut_mask = 16'hFFFE; +defparam \inst|inst11|auto_generated|aneb_result_wire[0]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y12_N28 +cycloneii_lcell_comb \inst|inst11|auto_generated|aneb_result_wire[0]~4 ( +// Equation(s): +// \inst|inst11|auto_generated|aneb_result_wire[0]~4_combout = (\inst|inst11|auto_generated|aneb_result_wire[0]~1_combout ) # ((\inst|inst11|auto_generated|aneb_result_wire[0]~2_combout ) # ((\inst|inst11|auto_generated|aneb_result_wire[0]~3_combout ) # +// (\inst|inst11|auto_generated|aneb_result_wire[0]~0_combout ))) + + .dataa(\inst|inst11|auto_generated|aneb_result_wire[0]~1_combout ), + .datab(\inst|inst11|auto_generated|aneb_result_wire[0]~2_combout ), + .datac(\inst|inst11|auto_generated|aneb_result_wire[0]~3_combout ), + .datad(\inst|inst11|auto_generated|aneb_result_wire[0]~0_combout ), + .cin(gnd), + .combout(\inst|inst11|auto_generated|aneb_result_wire[0]~4_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst11|auto_generated|aneb_result_wire[0]~4 .lut_mask = 16'hFFFE; +defparam \inst|inst11|auto_generated|aneb_result_wire[0]~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X37_Y13_N13 +cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[0] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst12|auto_generated|counter_comb_bita0~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\rst~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst12|auto_generated|safe_q [0])); + +// Location: LCCOMB_X37_Y13_N4 +cycloneii_lcell_comb \inst|inst11|auto_generated|aneb_result_wire[0] ( +// Equation(s): +// \inst|inst11|auto_generated|aneb_result_wire [0] = (!\inst|inst11|auto_generated|aneb_result_wire[0]~5_combout & (!\inst|inst11|auto_generated|aneb_result_wire[0]~4_combout & !\inst|inst12|auto_generated|safe_q [0])) + + .dataa(\inst|inst11|auto_generated|aneb_result_wire[0]~5_combout ), + .datab(vcc), + .datac(\inst|inst11|auto_generated|aneb_result_wire[0]~4_combout ), + .datad(\inst|inst12|auto_generated|safe_q [0]), + .cin(gnd), + .combout(\inst|inst11|auto_generated|aneb_result_wire [0]), + .cout()); +// synopsys translate_off +defparam \inst|inst11|auto_generated|aneb_result_wire[0] .lut_mask = 16'h0005; +defparam \inst|inst11|auto_generated|aneb_result_wire[0] .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_U11, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \mode[0]~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\mode~combout [0]), + .regout(), + .differentialout(), + .linkout(), + .padio(mode[0])); +// synopsys translate_off +defparam \mode[0]~I .input_async_reset = "none"; +defparam \mode[0]~I .input_power_up = "low"; +defparam \mode[0]~I .input_register_mode = "none"; +defparam \mode[0]~I .input_sync_reset = "none"; +defparam \mode[0]~I .oe_async_reset = "none"; +defparam \mode[0]~I .oe_power_up = "low"; +defparam \mode[0]~I .oe_register_mode = "none"; +defparam \mode[0]~I .oe_sync_reset = "none"; +defparam \mode[0]~I .operation_mode = "input"; +defparam \mode[0]~I .output_async_reset = "none"; +defparam \mode[0]~I .output_power_up = "low"; +defparam \mode[0]~I .output_register_mode = "none"; +defparam \mode[0]~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X34_Y12_N12 +cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita0 ( +// Equation(s): +// \inst|inst|auto_generated|counter_comb_bita0~combout = \inst|inst|auto_generated|safe_q [0] $ (VCC) +// \inst|inst|auto_generated|counter_comb_bita0~COUT = CARRY(\inst|inst|auto_generated|safe_q [0]) + + .dataa(\inst|inst|auto_generated|safe_q [0]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(gnd), + .combout(\inst|inst|auto_generated|counter_comb_bita0~combout ), + .cout(\inst|inst|auto_generated|counter_comb_bita0~COUT )); +// synopsys translate_off +defparam \inst|inst|auto_generated|counter_comb_bita0 .lut_mask = 16'h55AA; +defparam \inst|inst|auto_generated|counter_comb_bita0 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: PIN_R22, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \button~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\button~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(button)); +// synopsys translate_off +defparam \button~I .input_async_reset = "none"; +defparam \button~I .input_power_up = "low"; +defparam \button~I .input_register_mode = "none"; +defparam \button~I .input_sync_reset = "none"; +defparam \button~I .oe_async_reset = "none"; +defparam \button~I .oe_power_up = "low"; +defparam \button~I .oe_register_mode = "none"; +defparam \button~I .oe_sync_reset = "none"; +defparam \button~I .operation_mode = "input"; +defparam \button~I .output_async_reset = "none"; +defparam \button~I .output_power_up = "low"; +defparam \button~I .output_register_mode = "none"; +defparam \button~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCFF_X34_Y12_N13 +cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[0] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst|auto_generated|counter_comb_bita0~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\button~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst|auto_generated|safe_q [0])); + +// Location: LCCOMB_X34_Y12_N4 +cycloneii_lcell_comb \inst|inst1|auto_generated|aneb_result_wire[0]~8 ( +// Equation(s): +// \inst|inst1|auto_generated|aneb_result_wire[0]~8_combout = (\inst|inst1|auto_generated|aneb_result_wire[0]~7_combout ) # (\inst|inst|auto_generated|safe_q [0]) + + .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~7_combout ), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst|auto_generated|safe_q [0]), + .cin(gnd), + .combout(\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst1|auto_generated|aneb_result_wire[0]~8 .lut_mask = 16'hFFAA; +defparam \inst|inst1|auto_generated|aneb_result_wire[0]~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X34_Y12_N14 +cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita1 ( +// Equation(s): +// \inst|inst|auto_generated|counter_comb_bita1~combout = (\inst|inst|auto_generated|safe_q [1] & (!\inst|inst|auto_generated|counter_comb_bita0~COUT )) # (!\inst|inst|auto_generated|safe_q [1] & ((\inst|inst|auto_generated|counter_comb_bita0~COUT ) # +// (GND))) +// \inst|inst|auto_generated|counter_comb_bita1~COUT = CARRY((!\inst|inst|auto_generated|counter_comb_bita0~COUT ) # (!\inst|inst|auto_generated|safe_q [1])) + + .dataa(vcc), + .datab(\inst|inst|auto_generated|safe_q [1]), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst|auto_generated|counter_comb_bita0~COUT ), + .combout(\inst|inst|auto_generated|counter_comb_bita1~combout ), + .cout(\inst|inst|auto_generated|counter_comb_bita1~COUT )); +// synopsys translate_off +defparam \inst|inst|auto_generated|counter_comb_bita1 .lut_mask = 16'h3C3F; +defparam \inst|inst|auto_generated|counter_comb_bita1 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X34_Y12_N15 +cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[1] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst|auto_generated|counter_comb_bita1~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\button~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst|auto_generated|safe_q [1])); + +// Location: LCCOMB_X34_Y12_N18 +cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita3 ( +// Equation(s): +// \inst|inst|auto_generated|counter_comb_bita3~combout = (\inst|inst|auto_generated|safe_q [3] & (!\inst|inst|auto_generated|counter_comb_bita2~COUT )) # (!\inst|inst|auto_generated|safe_q [3] & ((\inst|inst|auto_generated|counter_comb_bita2~COUT ) # +// (GND))) +// \inst|inst|auto_generated|counter_comb_bita3~COUT = CARRY((!\inst|inst|auto_generated|counter_comb_bita2~COUT ) # (!\inst|inst|auto_generated|safe_q [3])) + + .dataa(vcc), + .datab(\inst|inst|auto_generated|safe_q [3]), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst|auto_generated|counter_comb_bita2~COUT ), + .combout(\inst|inst|auto_generated|counter_comb_bita3~combout ), + .cout(\inst|inst|auto_generated|counter_comb_bita3~COUT )); +// synopsys translate_off +defparam \inst|inst|auto_generated|counter_comb_bita3 .lut_mask = 16'h3C3F; +defparam \inst|inst|auto_generated|counter_comb_bita3 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X34_Y12_N19 +cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[3] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst|auto_generated|counter_comb_bita3~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\button~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst|auto_generated|safe_q [3])); + +// Location: LCCOMB_X34_Y12_N22 +cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita5 ( +// Equation(s): +// \inst|inst|auto_generated|counter_comb_bita5~combout = (\inst|inst|auto_generated|safe_q [5] & (!\inst|inst|auto_generated|counter_comb_bita4~COUT )) # (!\inst|inst|auto_generated|safe_q [5] & ((\inst|inst|auto_generated|counter_comb_bita4~COUT ) # +// (GND))) +// \inst|inst|auto_generated|counter_comb_bita5~COUT = CARRY((!\inst|inst|auto_generated|counter_comb_bita4~COUT ) # (!\inst|inst|auto_generated|safe_q [5])) + + .dataa(vcc), + .datab(\inst|inst|auto_generated|safe_q [5]), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst|auto_generated|counter_comb_bita4~COUT ), + .combout(\inst|inst|auto_generated|counter_comb_bita5~combout ), + .cout(\inst|inst|auto_generated|counter_comb_bita5~COUT )); +// synopsys translate_off +defparam \inst|inst|auto_generated|counter_comb_bita5 .lut_mask = 16'h3C3F; +defparam \inst|inst|auto_generated|counter_comb_bita5 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X34_Y12_N23 +cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst|auto_generated|counter_comb_bita5~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\button~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst|auto_generated|safe_q [5])); + +// Location: LCCOMB_X34_Y12_N24 +cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita6 ( +// Equation(s): +// \inst|inst|auto_generated|counter_comb_bita6~combout = (\inst|inst|auto_generated|safe_q [6] & (\inst|inst|auto_generated|counter_comb_bita5~COUT $ (GND))) # (!\inst|inst|auto_generated|safe_q [6] & (!\inst|inst|auto_generated|counter_comb_bita5~COUT & +// VCC)) +// \inst|inst|auto_generated|counter_comb_bita6~COUT = CARRY((\inst|inst|auto_generated|safe_q [6] & !\inst|inst|auto_generated|counter_comb_bita5~COUT )) + + .dataa(\inst|inst|auto_generated|safe_q [6]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst|auto_generated|counter_comb_bita5~COUT ), + .combout(\inst|inst|auto_generated|counter_comb_bita6~combout ), + .cout(\inst|inst|auto_generated|counter_comb_bita6~COUT )); +// synopsys translate_off +defparam \inst|inst|auto_generated|counter_comb_bita6 .lut_mask = 16'hA50A; +defparam \inst|inst|auto_generated|counter_comb_bita6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X34_Y12_N26 +cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita7 ( +// Equation(s): +// \inst|inst|auto_generated|counter_comb_bita7~combout = (\inst|inst|auto_generated|safe_q [7] & (!\inst|inst|auto_generated|counter_comb_bita6~COUT )) # (!\inst|inst|auto_generated|safe_q [7] & ((\inst|inst|auto_generated|counter_comb_bita6~COUT ) # +// (GND))) +// \inst|inst|auto_generated|counter_comb_bita7~COUT = CARRY((!\inst|inst|auto_generated|counter_comb_bita6~COUT ) # (!\inst|inst|auto_generated|safe_q [7])) + + .dataa(vcc), + .datab(\inst|inst|auto_generated|safe_q [7]), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst|auto_generated|counter_comb_bita6~COUT ), + .combout(\inst|inst|auto_generated|counter_comb_bita7~combout ), + .cout(\inst|inst|auto_generated|counter_comb_bita7~COUT )); +// synopsys translate_off +defparam \inst|inst|auto_generated|counter_comb_bita7 .lut_mask = 16'h3C3F; +defparam \inst|inst|auto_generated|counter_comb_bita7 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X34_Y12_N27 +cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[7] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst|auto_generated|counter_comb_bita7~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\button~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst|auto_generated|safe_q [7])); + +// Location: LCCOMB_X34_Y12_N28 +cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita8 ( +// Equation(s): +// \inst|inst|auto_generated|counter_comb_bita8~combout = (\inst|inst|auto_generated|safe_q [8] & (\inst|inst|auto_generated|counter_comb_bita7~COUT $ (GND))) # (!\inst|inst|auto_generated|safe_q [8] & (!\inst|inst|auto_generated|counter_comb_bita7~COUT & +// VCC)) +// \inst|inst|auto_generated|counter_comb_bita8~COUT = CARRY((\inst|inst|auto_generated|safe_q [8] & !\inst|inst|auto_generated|counter_comb_bita7~COUT )) + + .dataa(vcc), + .datab(\inst|inst|auto_generated|safe_q [8]), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst|auto_generated|counter_comb_bita7~COUT ), + .combout(\inst|inst|auto_generated|counter_comb_bita8~combout ), + .cout(\inst|inst|auto_generated|counter_comb_bita8~COUT )); +// synopsys translate_off +defparam \inst|inst|auto_generated|counter_comb_bita8 .lut_mask = 16'hC30C; +defparam \inst|inst|auto_generated|counter_comb_bita8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X34_Y12_N29 +cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[8] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst|auto_generated|counter_comb_bita8~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\button~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst|auto_generated|safe_q [8])); + +// Location: LCCOMB_X34_Y12_N30 +cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita9 ( +// Equation(s): +// \inst|inst|auto_generated|counter_comb_bita9~combout = (\inst|inst|auto_generated|safe_q [9] & (!\inst|inst|auto_generated|counter_comb_bita8~COUT )) # (!\inst|inst|auto_generated|safe_q [9] & ((\inst|inst|auto_generated|counter_comb_bita8~COUT ) # +// (GND))) +// \inst|inst|auto_generated|counter_comb_bita9~COUT = CARRY((!\inst|inst|auto_generated|counter_comb_bita8~COUT ) # (!\inst|inst|auto_generated|safe_q [9])) + + .dataa(vcc), + .datab(\inst|inst|auto_generated|safe_q [9]), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst|auto_generated|counter_comb_bita8~COUT ), + .combout(\inst|inst|auto_generated|counter_comb_bita9~combout ), + .cout(\inst|inst|auto_generated|counter_comb_bita9~COUT )); +// synopsys translate_off +defparam \inst|inst|auto_generated|counter_comb_bita9 .lut_mask = 16'h3C3F; +defparam \inst|inst|auto_generated|counter_comb_bita9 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X34_Y12_N31 +cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[9] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst|auto_generated|counter_comb_bita9~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\button~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst|auto_generated|safe_q [9])); + +// Location: LCCOMB_X34_Y11_N0 +cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita10 ( +// Equation(s): +// \inst|inst|auto_generated|counter_comb_bita10~combout = (\inst|inst|auto_generated|safe_q [10] & (\inst|inst|auto_generated|counter_comb_bita9~COUT $ (GND))) # (!\inst|inst|auto_generated|safe_q [10] & (!\inst|inst|auto_generated|counter_comb_bita9~COUT +// & VCC)) +// \inst|inst|auto_generated|counter_comb_bita10~COUT = CARRY((\inst|inst|auto_generated|safe_q [10] & !\inst|inst|auto_generated|counter_comb_bita9~COUT )) + + .dataa(vcc), + .datab(\inst|inst|auto_generated|safe_q [10]), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst|auto_generated|counter_comb_bita9~COUT ), + .combout(\inst|inst|auto_generated|counter_comb_bita10~combout ), + .cout(\inst|inst|auto_generated|counter_comb_bita10~COUT )); +// synopsys translate_off +defparam \inst|inst|auto_generated|counter_comb_bita10 .lut_mask = 16'hC30C; +defparam \inst|inst|auto_generated|counter_comb_bita10 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X34_Y11_N1 +cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[10] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst|auto_generated|counter_comb_bita10~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\button~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst|auto_generated|safe_q [10])); + +// Location: LCCOMB_X34_Y11_N2 +cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita11 ( +// Equation(s): +// \inst|inst|auto_generated|counter_comb_bita11~combout = (\inst|inst|auto_generated|safe_q [11] & (!\inst|inst|auto_generated|counter_comb_bita10~COUT )) # (!\inst|inst|auto_generated|safe_q [11] & ((\inst|inst|auto_generated|counter_comb_bita10~COUT ) # +// (GND))) +// \inst|inst|auto_generated|counter_comb_bita11~COUT = CARRY((!\inst|inst|auto_generated|counter_comb_bita10~COUT ) # (!\inst|inst|auto_generated|safe_q [11])) + + .dataa(vcc), + .datab(\inst|inst|auto_generated|safe_q [11]), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst|auto_generated|counter_comb_bita10~COUT ), + .combout(\inst|inst|auto_generated|counter_comb_bita11~combout ), + .cout(\inst|inst|auto_generated|counter_comb_bita11~COUT )); +// synopsys translate_off +defparam \inst|inst|auto_generated|counter_comb_bita11 .lut_mask = 16'h3C3F; +defparam \inst|inst|auto_generated|counter_comb_bita11 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X34_Y11_N3 +cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[11] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst|auto_generated|counter_comb_bita11~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\button~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst|auto_generated|safe_q [11])); + +// Location: LCCOMB_X34_Y11_N4 +cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita12 ( +// Equation(s): +// \inst|inst|auto_generated|counter_comb_bita12~combout = (\inst|inst|auto_generated|safe_q [12] & (\inst|inst|auto_generated|counter_comb_bita11~COUT $ (GND))) # (!\inst|inst|auto_generated|safe_q [12] & +// (!\inst|inst|auto_generated|counter_comb_bita11~COUT & VCC)) +// \inst|inst|auto_generated|counter_comb_bita12~COUT = CARRY((\inst|inst|auto_generated|safe_q [12] & !\inst|inst|auto_generated|counter_comb_bita11~COUT )) + + .dataa(vcc), + .datab(\inst|inst|auto_generated|safe_q [12]), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst|auto_generated|counter_comb_bita11~COUT ), + .combout(\inst|inst|auto_generated|counter_comb_bita12~combout ), + .cout(\inst|inst|auto_generated|counter_comb_bita12~COUT )); +// synopsys translate_off +defparam \inst|inst|auto_generated|counter_comb_bita12 .lut_mask = 16'hC30C; +defparam \inst|inst|auto_generated|counter_comb_bita12 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X34_Y11_N5 +cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[12] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst|auto_generated|counter_comb_bita12~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\button~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst|auto_generated|safe_q [12])); + +// Location: LCCOMB_X34_Y11_N26 +cycloneii_lcell_comb \inst|inst1|auto_generated|aneb_result_wire[0]~4 ( +// Equation(s): +// \inst|inst1|auto_generated|aneb_result_wire[0]~4_combout = ((\inst|inst|auto_generated|safe_q [11]) # ((\inst|inst|auto_generated|safe_q [12]) # (!\inst|inst|auto_generated|safe_q [10]))) # (!\inst|inst|auto_generated|safe_q [9]) + + .dataa(\inst|inst|auto_generated|safe_q [9]), + .datab(\inst|inst|auto_generated|safe_q [11]), + .datac(\inst|inst|auto_generated|safe_q [12]), + .datad(\inst|inst|auto_generated|safe_q [10]), + .cin(gnd), + .combout(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst1|auto_generated|aneb_result_wire[0]~4 .lut_mask = 16'hFDFF; +defparam \inst|inst1|auto_generated|aneb_result_wire[0]~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X34_Y12_N25 +cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[6] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst|auto_generated|counter_comb_bita6~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\button~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst|auto_generated|safe_q [6])); + +// Location: LCCOMB_X34_Y12_N0 +cycloneii_lcell_comb \inst|inst1|auto_generated|aneb_result_wire[0]~5 ( +// Equation(s): +// \inst|inst1|auto_generated|aneb_result_wire[0]~5_combout = ((\inst|inst|auto_generated|safe_q [5]) # ((!\inst|inst|auto_generated|safe_q [7]) # (!\inst|inst|auto_generated|safe_q [6]))) # (!\inst|inst|auto_generated|safe_q [8]) + + .dataa(\inst|inst|auto_generated|safe_q [8]), + .datab(\inst|inst|auto_generated|safe_q [5]), + .datac(\inst|inst|auto_generated|safe_q [6]), + .datad(\inst|inst|auto_generated|safe_q [7]), + .cin(gnd), + .combout(\inst|inst1|auto_generated|aneb_result_wire[0]~5_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst1|auto_generated|aneb_result_wire[0]~5 .lut_mask = 16'hDFFF; +defparam \inst|inst1|auto_generated|aneb_result_wire[0]~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X34_Y11_N8 +cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita14 ( +// Equation(s): +// \inst|inst|auto_generated|counter_comb_bita14~combout = (\inst|inst|auto_generated|safe_q [14] & (\inst|inst|auto_generated|counter_comb_bita13~COUT $ (GND))) # (!\inst|inst|auto_generated|safe_q [14] & +// (!\inst|inst|auto_generated|counter_comb_bita13~COUT & VCC)) +// \inst|inst|auto_generated|counter_comb_bita14~COUT = CARRY((\inst|inst|auto_generated|safe_q [14] & !\inst|inst|auto_generated|counter_comb_bita13~COUT )) + + .dataa(vcc), + .datab(\inst|inst|auto_generated|safe_q [14]), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst|auto_generated|counter_comb_bita13~COUT ), + .combout(\inst|inst|auto_generated|counter_comb_bita14~combout ), + .cout(\inst|inst|auto_generated|counter_comb_bita14~COUT )); +// synopsys translate_off +defparam \inst|inst|auto_generated|counter_comb_bita14 .lut_mask = 16'hC30C; +defparam \inst|inst|auto_generated|counter_comb_bita14 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X34_Y11_N9 +cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[14] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst|auto_generated|counter_comb_bita14~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\button~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst|auto_generated|safe_q [14])); + +// Location: LCCOMB_X34_Y11_N14 +cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita17 ( +// Equation(s): +// \inst|inst|auto_generated|counter_comb_bita17~combout = (\inst|inst|auto_generated|safe_q [17] & (!\inst|inst|auto_generated|counter_comb_bita16~COUT )) # (!\inst|inst|auto_generated|safe_q [17] & ((\inst|inst|auto_generated|counter_comb_bita16~COUT ) # +// (GND))) +// \inst|inst|auto_generated|counter_comb_bita17~COUT = CARRY((!\inst|inst|auto_generated|counter_comb_bita16~COUT ) # (!\inst|inst|auto_generated|safe_q [17])) + + .dataa(vcc), + .datab(\inst|inst|auto_generated|safe_q [17]), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst|auto_generated|counter_comb_bita16~COUT ), + .combout(\inst|inst|auto_generated|counter_comb_bita17~combout ), + .cout(\inst|inst|auto_generated|counter_comb_bita17~COUT )); +// synopsys translate_off +defparam \inst|inst|auto_generated|counter_comb_bita17 .lut_mask = 16'h3C3F; +defparam \inst|inst|auto_generated|counter_comb_bita17 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X34_Y11_N15 +cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[17] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst|auto_generated|counter_comb_bita17~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\button~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst|auto_generated|safe_q [17])); + +// Location: LCCOMB_X34_Y11_N16 +cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita18 ( +// Equation(s): +// \inst|inst|auto_generated|counter_comb_bita18~combout = (\inst|inst|auto_generated|safe_q [18] & (\inst|inst|auto_generated|counter_comb_bita17~COUT $ (GND))) # (!\inst|inst|auto_generated|safe_q [18] & +// (!\inst|inst|auto_generated|counter_comb_bita17~COUT & VCC)) +// \inst|inst|auto_generated|counter_comb_bita18~COUT = CARRY((\inst|inst|auto_generated|safe_q [18] & !\inst|inst|auto_generated|counter_comb_bita17~COUT )) + + .dataa(\inst|inst|auto_generated|safe_q [18]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst|auto_generated|counter_comb_bita17~COUT ), + .combout(\inst|inst|auto_generated|counter_comb_bita18~combout ), + .cout(\inst|inst|auto_generated|counter_comb_bita18~COUT )); +// synopsys translate_off +defparam \inst|inst|auto_generated|counter_comb_bita18 .lut_mask = 16'hA50A; +defparam \inst|inst|auto_generated|counter_comb_bita18 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X34_Y11_N17 +cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[18] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst|auto_generated|counter_comb_bita18~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\button~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst|auto_generated|safe_q [18])); + +// Location: LCFF_X34_Y11_N19 +cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[19] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst|auto_generated|counter_comb_bita19~combout ), + .sdata(gnd), + .aclr(!\rst~combout ), + .sclr(gnd), + .sload(gnd), + .ena(!\button~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst|auto_generated|safe_q [19])); + +// Location: LCCOMB_X34_Y11_N30 +cycloneii_lcell_comb \inst|inst1|auto_generated|aneb_result_wire[0]~2 ( +// Equation(s): +// \inst|inst1|auto_generated|aneb_result_wire[0]~2_combout = (\inst|inst|auto_generated|safe_q [20]) # ((\inst|inst|auto_generated|safe_q [17]) # ((\inst|inst|auto_generated|safe_q [18]) # (\inst|inst|auto_generated|safe_q [19]))) + + .dataa(\inst|inst|auto_generated|safe_q [20]), + .datab(\inst|inst|auto_generated|safe_q [17]), + .datac(\inst|inst|auto_generated|safe_q [18]), + .datad(\inst|inst|auto_generated|safe_q [19]), + .cin(gnd), + .combout(\inst|inst1|auto_generated|aneb_result_wire[0]~2_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst1|auto_generated|aneb_result_wire[0]~2 .lut_mask = 16'hFFFE; +defparam \inst|inst1|auto_generated|aneb_result_wire[0]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X34_Y11_N28 +cycloneii_lcell_comb \inst|inst1|auto_generated|aneb_result_wire[0]~6 ( +// Equation(s): +// \inst|inst1|auto_generated|aneb_result_wire[0]~6_combout = (\inst|inst1|auto_generated|aneb_result_wire[0]~3_combout ) # ((\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ) # ((\inst|inst1|auto_generated|aneb_result_wire[0]~5_combout ) # +// (\inst|inst1|auto_generated|aneb_result_wire[0]~2_combout ))) + + .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~3_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~5_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~2_combout ), + .cin(gnd), + .combout(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst1|auto_generated|aneb_result_wire[0]~6 .lut_mask = 16'hFFFE; +defparam \inst|inst1|auto_generated|aneb_result_wire[0]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y14_N28 +cycloneii_lcell_comb \inst|inst4|inst109 ( +// Equation(s): +// \inst|inst4|inst109~combout = (\mode~combout [1] & (\mode~combout [0] & (!\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout & !\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ))) + + .dataa(\mode~combout [1]), + .datab(\mode~combout [0]), + .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), + .cin(gnd), + .combout(\inst|inst4|inst109~combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst109 .lut_mask = 16'h0008; +defparam \inst|inst4|inst109 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y15_N14 +cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|counter_reg_bit1a[0]~6 ( +// Equation(s): +// \inst|inst4|inst115|auto_generated|counter_reg_bit1a[0]~6_combout = (!\inst|inst11|auto_generated|aneb_result_wire [0] & (!\inst|inst4|inst109~combout & \inst|inst4|inst115|auto_generated|safe_q [0])) + + .dataa(vcc), + .datab(\inst|inst11|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst109~combout ), + .datad(\inst|inst4|inst115|auto_generated|safe_q [0]), + .cin(gnd), + .combout(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[0]~6_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[0]~6 .lut_mask = 16'h0300; +defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[0]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y13_N6 +cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1 ( +// Equation(s): +// \inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1_combout = (\inst|inst4|inst109~combout ) # ((!\inst|inst11|auto_generated|aneb_result_wire[0]~5_combout & (!\inst|inst11|auto_generated|aneb_result_wire[0]~4_combout & +// !\inst|inst12|auto_generated|safe_q [0]))) + + .dataa(\inst|inst11|auto_generated|aneb_result_wire[0]~5_combout ), + .datab(\inst|inst4|inst109~combout ), + .datac(\inst|inst11|auto_generated|aneb_result_wire[0]~4_combout ), + .datad(\inst|inst12|auto_generated|safe_q [0]), + .cin(gnd), + .combout(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1 .lut_mask = 16'hCCCD; +defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X34_Y12_N6 +cycloneii_lcell_comb \inst|inst1|auto_generated|aneb_result_wire[0] ( +// Equation(s): +// \inst|inst1|auto_generated|aneb_result_wire [0] = (\inst|inst1|auto_generated|aneb_result_wire[0]~7_combout ) # ((\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ) # (\inst|inst|auto_generated|safe_q [0])) + + .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~7_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), + .datac(vcc), + .datad(\inst|inst|auto_generated|safe_q [0]), + .cin(gnd), + .combout(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cout()); +// synopsys translate_off +defparam \inst|inst1|auto_generated|aneb_result_wire[0] .lut_mask = 16'hFFEE; +defparam \inst|inst1|auto_generated|aneb_result_wire[0] .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y14_N2 +cycloneii_lcell_comb \inst|inst4|inst107 ( +// Equation(s): +// \inst|inst4|inst107~combout = (!\mode~combout [1] & (\mode~combout [0] & (!\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout & !\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ))) + + .dataa(\mode~combout [1]), + .datab(\mode~combout [0]), + .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), + .cin(gnd), + .combout(\inst|inst4|inst107~combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst107 .lut_mask = 16'h0004; +defparam \inst|inst4|inst107 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y15_N2 +cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|counter_comb_bita1 ( +// Equation(s): +// \inst|inst4|inst115|auto_generated|counter_comb_bita1~combout = (\inst|inst4|inst115|auto_generated|counter_comb_bita0~COUT & (\inst|inst4|inst115|auto_generated|safe_q [1] $ (((\inst|inst4|inst107~combout ) # (VCC))))) # +// (!\inst|inst4|inst115|auto_generated|counter_comb_bita0~COUT & (((\inst|inst4|inst115|auto_generated|safe_q [1]) # (GND)))) +// \inst|inst4|inst115|auto_generated|counter_comb_bita1~COUT = CARRY((\inst|inst4|inst107~combout $ (\inst|inst4|inst115|auto_generated|safe_q [1])) # (!\inst|inst4|inst115|auto_generated|counter_comb_bita0~COUT )) + + .dataa(\inst|inst4|inst107~combout ), + .datab(\inst|inst4|inst115|auto_generated|safe_q [1]), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst4|inst115|auto_generated|counter_comb_bita0~COUT ), + .combout(\inst|inst4|inst115|auto_generated|counter_comb_bita1~combout ), + .cout(\inst|inst4|inst115|auto_generated|counter_comb_bita1~COUT )); +// synopsys translate_off +defparam \inst|inst4|inst115|auto_generated|counter_comb_bita1 .lut_mask = 16'h3C6F; +defparam \inst|inst4|inst115|auto_generated|counter_comb_bita1 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y15_N20 +cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|counter_reg_bit1a[1]~5 ( +// Equation(s): +// \inst|inst4|inst115|auto_generated|counter_reg_bit1a[1]~5_combout = (!\inst|inst11|auto_generated|aneb_result_wire [0] & (!\inst|inst4|inst109~combout & \inst|inst4|inst115|auto_generated|safe_q [1])) + + .dataa(vcc), + .datab(\inst|inst11|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst109~combout ), + .datad(\inst|inst4|inst115|auto_generated|safe_q [1]), + .cin(gnd), + .combout(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[1]~5_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[1]~5 .lut_mask = 16'h0300; +defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[1]~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X37_Y15_N3 +cycloneii_lcell_ff \inst|inst4|inst115|auto_generated|counter_reg_bit1a[1] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst115|auto_generated|counter_comb_bita1~combout ), + .sdata(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[1]~5_combout ), + .aclr(gnd), + .sclr(gnd), + .sload(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1_combout ), + .ena(\inst|inst4|inst115|auto_generated|_~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst115|auto_generated|safe_q [1])); + +// Location: LCCOMB_X37_Y15_N4 +cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|counter_comb_bita2 ( +// Equation(s): +// \inst|inst4|inst115|auto_generated|counter_comb_bita2~combout = (\inst|inst4|inst115|auto_generated|counter_comb_bita1~COUT & (((\inst|inst4|inst115|auto_generated|safe_q [2] & VCC)))) # (!\inst|inst4|inst115|auto_generated|counter_comb_bita1~COUT & +// (\inst|inst4|inst115|auto_generated|safe_q [2] $ (((VCC) # (!\inst|inst4|inst107~combout ))))) +// \inst|inst4|inst115|auto_generated|counter_comb_bita2~COUT = CARRY((!\inst|inst4|inst115|auto_generated|counter_comb_bita1~COUT & (\inst|inst4|inst107~combout $ (!\inst|inst4|inst115|auto_generated|safe_q [2])))) + + .dataa(\inst|inst4|inst107~combout ), + .datab(\inst|inst4|inst115|auto_generated|safe_q [2]), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst4|inst115|auto_generated|counter_comb_bita1~COUT ), + .combout(\inst|inst4|inst115|auto_generated|counter_comb_bita2~combout ), + .cout(\inst|inst4|inst115|auto_generated|counter_comb_bita2~COUT )); +// synopsys translate_off +defparam \inst|inst4|inst115|auto_generated|counter_comb_bita2 .lut_mask = 16'hC309; +defparam \inst|inst4|inst115|auto_generated|counter_comb_bita2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y15_N24 +cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|counter_reg_bit1a[2]~3 ( +// Equation(s): +// \inst|inst4|inst115|auto_generated|counter_reg_bit1a[2]~3_combout = (!\inst|inst11|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst109~combout ) # (\inst|inst4|inst115|auto_generated|safe_q [2]))) + + .dataa(\inst|inst4|inst109~combout ), + .datab(vcc), + .datac(\inst|inst4|inst115|auto_generated|safe_q [2]), + .datad(\inst|inst11|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[2]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[2]~3 .lut_mask = 16'h00FA; +defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[2]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X37_Y15_N5 +cycloneii_lcell_ff \inst|inst4|inst115|auto_generated|counter_reg_bit1a[2] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst115|auto_generated|counter_comb_bita2~combout ), + .sdata(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[2]~3_combout ), + .aclr(gnd), + .sclr(gnd), + .sload(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1_combout ), + .ena(\inst|inst4|inst115|auto_generated|_~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst115|auto_generated|safe_q [2])); + +// Location: LCCOMB_X37_Y15_N6 +cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|counter_comb_bita3 ( +// Equation(s): +// \inst|inst4|inst115|auto_generated|counter_comb_bita3~combout = (\inst|inst4|inst115|auto_generated|counter_comb_bita2~COUT & (\inst|inst4|inst115|auto_generated|safe_q [3] $ (((\inst|inst4|inst107~combout ) # (VCC))))) # +// (!\inst|inst4|inst115|auto_generated|counter_comb_bita2~COUT & ((\inst|inst4|inst115|auto_generated|safe_q [3]) # ((GND)))) +// \inst|inst4|inst115|auto_generated|counter_comb_bita3~COUT = CARRY((\inst|inst4|inst115|auto_generated|safe_q [3] $ (\inst|inst4|inst107~combout )) # (!\inst|inst4|inst115|auto_generated|counter_comb_bita2~COUT )) + + .dataa(\inst|inst4|inst115|auto_generated|safe_q [3]), + .datab(\inst|inst4|inst107~combout ), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst4|inst115|auto_generated|counter_comb_bita2~COUT ), + .combout(\inst|inst4|inst115|auto_generated|counter_comb_bita3~combout ), + .cout(\inst|inst4|inst115|auto_generated|counter_comb_bita3~COUT )); +// synopsys translate_off +defparam \inst|inst4|inst115|auto_generated|counter_comb_bita3 .lut_mask = 16'h5A6F; +defparam \inst|inst4|inst115|auto_generated|counter_comb_bita3 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y15_N8 +cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|counter_comb_bita4 ( +// Equation(s): +// \inst|inst4|inst115|auto_generated|counter_comb_bita4~combout = (\inst|inst4|inst115|auto_generated|counter_comb_bita3~COUT & (((\inst|inst4|inst115|auto_generated|safe_q [4] & VCC)))) # (!\inst|inst4|inst115|auto_generated|counter_comb_bita3~COUT & +// (\inst|inst4|inst115|auto_generated|safe_q [4] $ (((VCC) # (!\inst|inst4|inst107~combout ))))) +// \inst|inst4|inst115|auto_generated|counter_comb_bita4~COUT = CARRY((!\inst|inst4|inst115|auto_generated|counter_comb_bita3~COUT & (\inst|inst4|inst107~combout $ (!\inst|inst4|inst115|auto_generated|safe_q [4])))) + + .dataa(\inst|inst4|inst107~combout ), + .datab(\inst|inst4|inst115|auto_generated|safe_q [4]), + .datac(vcc), + .datad(vcc), + .cin(\inst|inst4|inst115|auto_generated|counter_comb_bita3~COUT ), + .combout(\inst|inst4|inst115|auto_generated|counter_comb_bita4~combout ), + .cout(\inst|inst4|inst115|auto_generated|counter_comb_bita4~COUT )); +// synopsys translate_off +defparam \inst|inst4|inst115|auto_generated|counter_comb_bita4 .lut_mask = 16'hC309; +defparam \inst|inst4|inst115|auto_generated|counter_comb_bita4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y15_N30 +cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|counter_reg_bit1a[4]~2 ( +// Equation(s): +// \inst|inst4|inst115|auto_generated|counter_reg_bit1a[4]~2_combout = (!\inst|inst11|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst109~combout ) # (\inst|inst4|inst115|auto_generated|safe_q [4]))) + + .dataa(\inst|inst4|inst109~combout ), + .datab(vcc), + .datac(\inst|inst4|inst115|auto_generated|safe_q [4]), + .datad(\inst|inst11|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[4]~2_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[4]~2 .lut_mask = 16'h00FA; +defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[4]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X37_Y15_N9 +cycloneii_lcell_ff \inst|inst4|inst115|auto_generated|counter_reg_bit1a[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst115|auto_generated|counter_comb_bita4~combout ), + .sdata(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[4]~2_combout ), + .aclr(gnd), + .sclr(gnd), + .sload(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1_combout ), + .ena(\inst|inst4|inst115|auto_generated|_~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst115|auto_generated|safe_q [4])); + +// Location: LCCOMB_X37_Y15_N10 +cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|counter_comb_bita5 ( +// Equation(s): +// \inst|inst4|inst115|auto_generated|counter_comb_bita5~combout = \inst|inst4|inst115|auto_generated|counter_comb_bita4~COUT $ (\inst|inst4|inst115|auto_generated|safe_q [5]) + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst115|auto_generated|safe_q [5]), + .cin(\inst|inst4|inst115|auto_generated|counter_comb_bita4~COUT ), + .combout(\inst|inst4|inst115|auto_generated|counter_comb_bita5~combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst115|auto_generated|counter_comb_bita5 .lut_mask = 16'h0FF0; +defparam \inst|inst4|inst115|auto_generated|counter_comb_bita5 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y15_N26 +cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|counter_reg_bit1a[5]~0 ( +// Equation(s): +// \inst|inst4|inst115|auto_generated|counter_reg_bit1a[5]~0_combout = (!\inst|inst11|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst109~combout ) # (\inst|inst4|inst115|auto_generated|safe_q [5]))) + + .dataa(vcc), + .datab(\inst|inst11|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst109~combout ), + .datad(\inst|inst4|inst115|auto_generated|safe_q [5]), + .cin(gnd), + .combout(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[5]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[5]~0 .lut_mask = 16'h3330; +defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[5]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X37_Y15_N11 +cycloneii_lcell_ff \inst|inst4|inst115|auto_generated|counter_reg_bit1a[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst115|auto_generated|counter_comb_bita5~combout ), + .sdata(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[5]~0_combout ), + .aclr(gnd), + .sclr(gnd), + .sload(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1_combout ), + .ena(\inst|inst4|inst115|auto_generated|_~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst115|auto_generated|safe_q [5])); + +// Location: LCCOMB_X37_Y15_N16 +cycloneii_lcell_comb \inst|inst4|inst119|auto_generated|aneb_result_wire[0] ( +// Equation(s): +// \inst|inst4|inst119|auto_generated|aneb_result_wire [0] = (((!\inst|inst4|inst115|auto_generated|safe_q [5]) # (!\inst|inst4|inst115|auto_generated|safe_q [2])) # (!\inst|inst4|inst115|auto_generated|safe_q [4])) # +// (!\inst|inst4|inst119|auto_generated|aneb_result_wire[0]~0_combout ) + + .dataa(\inst|inst4|inst119|auto_generated|aneb_result_wire[0]~0_combout ), + .datab(\inst|inst4|inst115|auto_generated|safe_q [4]), + .datac(\inst|inst4|inst115|auto_generated|safe_q [2]), + .datad(\inst|inst4|inst115|auto_generated|safe_q [5]), + .cin(gnd), + .combout(\inst|inst4|inst119|auto_generated|aneb_result_wire [0]), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst119|auto_generated|aneb_result_wire[0] .lut_mask = 16'h7FFF; +defparam \inst|inst4|inst119|auto_generated|aneb_result_wire[0] .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y15_N8 +cycloneii_lcell_comb \inst|inst4|inst122~0 ( +// Equation(s): +// \inst|inst4|inst122~0_combout = (\mode~combout [1] & (((!\mode~combout [0] & !\inst|inst4|inst120|auto_generated|aneb_result_wire [0])))) # (!\mode~combout [1] & (((!\mode~combout [0])) # (!\inst|inst4|inst119|auto_generated|aneb_result_wire [0]))) + + .dataa(\mode~combout [1]), + .datab(\inst|inst4|inst119|auto_generated|aneb_result_wire [0]), + .datac(\mode~combout [0]), + .datad(\inst|inst4|inst120|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst122~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst122~0 .lut_mask = 16'h151F; +defparam \inst|inst4|inst122~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y15_N28 +cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|_~1 ( +// Equation(s): +// \inst|inst4|inst115|auto_generated|_~1_combout = (\inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1_combout ) # ((\inst|inst4|inst115|auto_generated|_~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire [0] & !\inst|inst4|inst122~0_combout +// ))) + + .dataa(\inst|inst4|inst115|auto_generated|_~0_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst122~0_combout ), + .datad(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1_combout ), + .cin(gnd), + .combout(\inst|inst4|inst115|auto_generated|_~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst115|auto_generated|_~1 .lut_mask = 16'hFF02; +defparam \inst|inst4|inst115|auto_generated|_~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X37_Y15_N1 +cycloneii_lcell_ff \inst|inst4|inst115|auto_generated|counter_reg_bit1a[0] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst115|auto_generated|counter_comb_bita0~combout ), + .sdata(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[0]~6_combout ), + .aclr(gnd), + .sclr(gnd), + .sload(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1_combout ), + .ena(\inst|inst4|inst115|auto_generated|_~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst115|auto_generated|safe_q [0])); + +// Location: LCCOMB_X37_Y15_N12 +cycloneii_lcell_comb \inst|inst4|inst119|auto_generated|aneb_result_wire[0]~0 ( +// Equation(s): +// \inst|inst4|inst119|auto_generated|aneb_result_wire[0]~0_combout = (!\inst|inst4|inst115|auto_generated|safe_q [3] & (!\inst|inst4|inst115|auto_generated|safe_q [0] & !\inst|inst4|inst115|auto_generated|safe_q [1])) + + .dataa(\inst|inst4|inst115|auto_generated|safe_q [3]), + .datab(\inst|inst4|inst115|auto_generated|safe_q [0]), + .datac(vcc), + .datad(\inst|inst4|inst115|auto_generated|safe_q [1]), + .cin(gnd), + .combout(\inst|inst4|inst119|auto_generated|aneb_result_wire[0]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst119|auto_generated|aneb_result_wire[0]~0 .lut_mask = 16'h0011; +defparam \inst|inst4|inst119|auto_generated|aneb_result_wire[0]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X37_Y15_N22 +cycloneii_lcell_comb \inst|inst4|inst120|auto_generated|aneb_result_wire[0] ( +// Equation(s): +// \inst|inst4|inst120|auto_generated|aneb_result_wire [0] = ((\inst|inst4|inst115|auto_generated|safe_q [4]) # ((\inst|inst4|inst115|auto_generated|safe_q [2]) # (\inst|inst4|inst115|auto_generated|safe_q [5]))) # +// (!\inst|inst4|inst119|auto_generated|aneb_result_wire[0]~0_combout ) + + .dataa(\inst|inst4|inst119|auto_generated|aneb_result_wire[0]~0_combout ), + .datab(\inst|inst4|inst115|auto_generated|safe_q [4]), + .datac(\inst|inst4|inst115|auto_generated|safe_q [2]), + .datad(\inst|inst4|inst115|auto_generated|safe_q [5]), + .cin(gnd), + .combout(\inst|inst4|inst120|auto_generated|aneb_result_wire [0]), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst120|auto_generated|aneb_result_wire[0] .lut_mask = 16'hFFFD; +defparam \inst|inst4|inst120|auto_generated|aneb_result_wire[0] .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_M2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \mode[1]~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\mode~combout [1]), + .regout(), + .differentialout(), + .linkout(), + .padio(mode[1])); +// synopsys translate_off +defparam \mode[1]~I .input_async_reset = "none"; +defparam \mode[1]~I .input_power_up = "low"; +defparam \mode[1]~I .input_register_mode = "none"; +defparam \mode[1]~I .input_sync_reset = "none"; +defparam \mode[1]~I .oe_async_reset = "none"; +defparam \mode[1]~I .oe_power_up = "low"; +defparam \mode[1]~I .oe_register_mode = "none"; +defparam \mode[1]~I .oe_sync_reset = "none"; +defparam \mode[1]~I .operation_mode = "input"; +defparam \mode[1]~I .output_async_reset = "none"; +defparam \mode[1]~I .output_power_up = "low"; +defparam \mode[1]~I .output_register_mode = "none"; +defparam \mode[1]~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y14_N0 +cycloneii_lcell_comb \inst|inst4|inst108~0 ( +// Equation(s): +// \inst|inst4|inst108~0_combout = (\mode~combout [1] & !\mode~combout [0]) + + .dataa(vcc), + .datab(vcc), + .datac(\mode~combout [1]), + .datad(\mode~combout [0]), + .cin(gnd), + .combout(\inst|inst4|inst108~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst108~0 .lut_mask = 16'h00F0; +defparam \inst|inst4|inst108~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X31_Y12_N0 +cycloneii_lcell_comb \inst|inst4|inst30|$00000|auto_generated|result_node[5]~3 ( +// Equation(s): +// \inst|inst4|inst30|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst28|dffs [5])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst33|dffs [5]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst28|dffs [5])) + + .dataa(\inst|inst4|inst28|dffs [5]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst33|dffs [5]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst30|$00000|auto_generated|result_node[5]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst30|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hAAE2; +defparam \inst|inst4|inst30|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X31_Y13_N8 +cycloneii_lcell_comb \inst|inst4|inst31|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst31|dffs[5]~feeder_combout = \inst|inst4|inst30|$00000|auto_generated|result_node[5]~3_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst30|$00000|auto_generated|result_node[5]~3_combout ), + .cin(gnd), + .combout(\inst|inst4|inst31|dffs[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst31|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst31|dffs[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y13_N22 +cycloneii_lcell_comb \~GND ( +// Equation(s): +// \~GND~combout = GND + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(gnd), + .combout(\~GND~combout ), + .cout()); +// synopsys translate_off +defparam \~GND .lut_mask = 16'h0000; +defparam \~GND .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: CLKCTRL_G4 +cycloneii_clkctrl \inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl ( + .ena(vcc), + .inclk({gnd,gnd,gnd,\inst|inst11|auto_generated|aneb_result_wire [0]}), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk )); +// synopsys translate_off +defparam \inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl .clock_type = "global clock"; +defparam \inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: PIN_L1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \clk~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\clk~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(clk)); +// synopsys translate_off +defparam \clk~I .input_async_reset = "none"; +defparam \clk~I .input_power_up = "low"; +defparam \clk~I .input_register_mode = "none"; +defparam \clk~I .input_sync_reset = "none"; +defparam \clk~I .oe_async_reset = "none"; +defparam \clk~I .oe_power_up = "low"; +defparam \clk~I .oe_register_mode = "none"; +defparam \clk~I .oe_sync_reset = "none"; +defparam \clk~I .operation_mode = "input"; +defparam \clk~I .output_async_reset = "none"; +defparam \clk~I .output_power_up = "low"; +defparam \clk~I .output_register_mode = "none"; +defparam \clk~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: CLKCTRL_G2 +cycloneii_clkctrl \clk~clkctrl ( + .ena(vcc), + .inclk({gnd,gnd,gnd,\clk~combout }), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\clk~clkctrl_outclk )); +// synopsys translate_off +defparam \clk~clkctrl .clock_type = "global clock"; +defparam \clk~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: PIN_L22, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \addr[0]~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\addr~combout [0]), + .regout(), + .differentialout(), + .linkout(), + .padio(addr[0])); +// synopsys translate_off +defparam \addr[0]~I .input_async_reset = "none"; +defparam \addr[0]~I .input_power_up = "low"; +defparam \addr[0]~I .input_register_mode = "none"; +defparam \addr[0]~I .input_sync_reset = "none"; +defparam \addr[0]~I .oe_async_reset = "none"; +defparam \addr[0]~I .oe_power_up = "low"; +defparam \addr[0]~I .oe_register_mode = "none"; +defparam \addr[0]~I .oe_sync_reset = "none"; +defparam \addr[0]~I .operation_mode = "input"; +defparam \addr[0]~I .output_async_reset = "none"; +defparam \addr[0]~I .output_power_up = "low"; +defparam \addr[0]~I .output_register_mode = "none"; +defparam \addr[0]~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_L21, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \addr[1]~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\addr~combout [1]), + .regout(), + .differentialout(), + .linkout(), + .padio(addr[1])); +// synopsys translate_off +defparam \addr[1]~I .input_async_reset = "none"; +defparam \addr[1]~I .input_power_up = "low"; +defparam \addr[1]~I .input_register_mode = "none"; +defparam \addr[1]~I .input_sync_reset = "none"; +defparam \addr[1]~I .oe_async_reset = "none"; +defparam \addr[1]~I .oe_power_up = "low"; +defparam \addr[1]~I .oe_register_mode = "none"; +defparam \addr[1]~I .oe_sync_reset = "none"; +defparam \addr[1]~I .operation_mode = "input"; +defparam \addr[1]~I .output_async_reset = "none"; +defparam \addr[1]~I .output_power_up = "low"; +defparam \addr[1]~I .output_register_mode = "none"; +defparam \addr[1]~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_M22, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \addr[2]~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\addr~combout [2]), + .regout(), + .differentialout(), + .linkout(), + .padio(addr[2])); +// synopsys translate_off +defparam \addr[2]~I .input_async_reset = "none"; +defparam \addr[2]~I .input_power_up = "low"; +defparam \addr[2]~I .input_register_mode = "none"; +defparam \addr[2]~I .input_sync_reset = "none"; +defparam \addr[2]~I .oe_async_reset = "none"; +defparam \addr[2]~I .oe_power_up = "low"; +defparam \addr[2]~I .oe_register_mode = "none"; +defparam \addr[2]~I .oe_sync_reset = "none"; +defparam \addr[2]~I .operation_mode = "input"; +defparam \addr[2]~I .output_async_reset = "none"; +defparam \addr[2]~I .output_power_up = "low"; +defparam \addr[2]~I .output_register_mode = "none"; +defparam \addr[2]~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_V12, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \addr[3]~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\addr~combout [3]), + .regout(), + .differentialout(), + .linkout(), + .padio(addr[3])); +// synopsys translate_off +defparam \addr[3]~I .input_async_reset = "none"; +defparam \addr[3]~I .input_power_up = "low"; +defparam \addr[3]~I .input_register_mode = "none"; +defparam \addr[3]~I .input_sync_reset = "none"; +defparam \addr[3]~I .oe_async_reset = "none"; +defparam \addr[3]~I .oe_power_up = "low"; +defparam \addr[3]~I .oe_register_mode = "none"; +defparam \addr[3]~I .oe_sync_reset = "none"; +defparam \addr[3]~I .operation_mode = "input"; +defparam \addr[3]~I .output_async_reset = "none"; +defparam \addr[3]~I .output_power_up = "low"; +defparam \addr[3]~I .output_register_mode = "none"; +defparam \addr[3]~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_W12, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \addr[4]~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\addr~combout [4]), + .regout(), + .differentialout(), + .linkout(), + .padio(addr[4])); +// synopsys translate_off +defparam \addr[4]~I .input_async_reset = "none"; +defparam \addr[4]~I .input_power_up = "low"; +defparam \addr[4]~I .input_register_mode = "none"; +defparam \addr[4]~I .input_sync_reset = "none"; +defparam \addr[4]~I .oe_async_reset = "none"; +defparam \addr[4]~I .oe_power_up = "low"; +defparam \addr[4]~I .oe_register_mode = "none"; +defparam \addr[4]~I .oe_sync_reset = "none"; +defparam \addr[4]~I .operation_mode = "input"; +defparam \addr[4]~I .output_async_reset = "none"; +defparam \addr[4]~I .output_power_up = "low"; +defparam \addr[4]~I .output_register_mode = "none"; +defparam \addr[4]~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_U12, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \addr[5]~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\addr~combout [5]), + .regout(), + .differentialout(), + .linkout(), + .padio(addr[5])); +// synopsys translate_off +defparam \addr[5]~I .input_async_reset = "none"; +defparam \addr[5]~I .input_power_up = "low"; +defparam \addr[5]~I .input_register_mode = "none"; +defparam \addr[5]~I .input_sync_reset = "none"; +defparam \addr[5]~I .oe_async_reset = "none"; +defparam \addr[5]~I .oe_power_up = "low"; +defparam \addr[5]~I .oe_register_mode = "none"; +defparam \addr[5]~I .oe_sync_reset = "none"; +defparam \addr[5]~I .operation_mode = "input"; +defparam \addr[5]~I .output_async_reset = "none"; +defparam \addr[5]~I .output_power_up = "low"; +defparam \addr[5]~I .output_register_mode = "none"; +defparam \addr[5]~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y15_N26 +cycloneii_lcell_comb \inst|inst4|inst124|inst84~0 ( +// Equation(s): +// \inst|inst4|inst124|inst84~0_combout = (\mode~combout [1] & ((\mode~combout [0]) # (\inst|inst4|inst120|auto_generated|aneb_result_wire [0]))) + + .dataa(\mode~combout [1]), + .datab(vcc), + .datac(\mode~combout [0]), + .datad(\inst|inst4|inst120|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst84~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst84~0 .lut_mask = 16'hAAA0; +defparam \inst|inst4|inst124|inst84~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X20_Y13_N0 +cycloneii_lcell_comb \inst|inst4|inst124|inst91~0 ( +// Equation(s): +// \inst|inst4|inst124|inst91~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [15] & \inst|inst4|inst124|inst84~0_combout )))) + + .dataa(\inst|inst4|inst107~0_combout ), + .datab(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [15]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst124|inst84~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst124|inst91~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst91~0 .lut_mask = 16'h0E0A; +defparam \inst|inst4|inst124|inst91~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X31_Y13_N9 +cycloneii_lcell_ff \inst|inst4|inst31|dffs[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst31|dffs[5]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst91~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst31|dffs [5])); + +// Location: LCCOMB_X31_Y12_N30 +cycloneii_lcell_comb \inst|inst4|inst32|$00000|auto_generated|result_node[5]~3 ( +// Equation(s): +// \inst|inst4|inst32|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst31|dffs [5]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst35|dffs [5])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst31|dffs [5])))) + + .dataa(\inst|inst4|inst35|dffs [5]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst31|dffs [5]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst32|$00000|auto_generated|result_node[5]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst32|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hF0B8; +defparam \inst|inst4|inst32|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X31_Y12_N4 +cycloneii_lcell_comb \inst|inst4|inst33|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst33|dffs[5]~feeder_combout = \inst|inst4|inst32|$00000|auto_generated|result_node[5]~3_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst32|$00000|auto_generated|result_node[5]~3_combout ), + .cin(gnd), + .combout(\inst|inst4|inst33|dffs[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst33|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst33|dffs[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y14_N6 +cycloneii_lcell_comb \inst|inst4|inst107~0 ( +// Equation(s): +// \inst|inst4|inst107~0_combout = (!\mode~combout [1] & \mode~combout [0]) + + .dataa(vcc), + .datab(vcc), + .datac(\mode~combout [1]), + .datad(\mode~combout [0]), + .cin(gnd), + .combout(\inst|inst4|inst107~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst107~0 .lut_mask = 16'h0F00; +defparam \inst|inst4|inst107~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y13_N6 +cycloneii_lcell_comb \inst|inst4|inst124|inst90~0 ( +// Equation(s): +// \inst|inst4|inst124|inst90~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [16] & \inst|inst4|inst124|inst84~0_combout )))) + + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [16]), + .datac(\inst|inst4|inst124|inst84~0_combout ), + .datad(\inst|inst4|inst107~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst124|inst90~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst90~0 .lut_mask = 16'h5540; +defparam \inst|inst4|inst124|inst90~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X31_Y12_N5 +cycloneii_lcell_ff \inst|inst4|inst33|dffs[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst33|dffs[5]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst90~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst33|dffs [5])); + +// Location: LCCOMB_X25_Y13_N8 +cycloneii_lcell_comb \inst|inst4|inst34|$00000|auto_generated|result_node[5]~3 ( +// Equation(s): +// \inst|inst4|inst34|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst33|dffs [5])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst37|dffs [5]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst33|dffs [5])))) + + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst33|dffs [5]), + .datad(\inst|inst4|inst37|dffs [5]), + .cin(gnd), + .combout(\inst|inst4|inst34|$00000|auto_generated|result_node[5]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst34|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hF4B0; +defparam \inst|inst4|inst34|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X25_Y13_N22 +cycloneii_lcell_comb \inst|inst4|inst35|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst35|dffs[5]~feeder_combout = \inst|inst4|inst34|$00000|auto_generated|result_node[5]~3_combout + + .dataa(vcc), + .datab(\inst|inst4|inst34|$00000|auto_generated|result_node[5]~3_combout ), + .datac(vcc), + .datad(vcc), + .cin(gnd), + .combout(\inst|inst4|inst35|dffs[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst35|dffs[5]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst35|dffs[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X25_Y13_N10 +cycloneii_lcell_comb \inst|inst4|inst124|inst89~0 ( +// Equation(s): +// \inst|inst4|inst124|inst89~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst124|inst84~0_combout & \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [17])))) + + .dataa(\inst|inst4|inst124|inst84~0_combout ), + .datab(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [17]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst107~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst124|inst89~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst89~0 .lut_mask = 16'h0F08; +defparam \inst|inst4|inst124|inst89~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X25_Y13_N23 +cycloneii_lcell_ff \inst|inst4|inst35|dffs[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst35|dffs[5]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst89~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst35|dffs [5])); + +// Location: LCCOMB_X24_Y12_N30 +cycloneii_lcell_comb \inst|inst4|inst36|$00000|auto_generated|result_node[5]~3 ( +// Equation(s): +// \inst|inst4|inst36|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst35|dffs [5]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst39|dffs [5])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst35|dffs [5])))) + + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst39|dffs [5]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst35|dffs [5]), + .cin(gnd), + .combout(\inst|inst4|inst36|$00000|auto_generated|result_node[5]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst36|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hFD08; +defparam \inst|inst4|inst36|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X25_Y11_N0 +cycloneii_lcell_comb \inst|inst4|inst37|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst37|dffs[5]~feeder_combout = \inst|inst4|inst36|$00000|auto_generated|result_node[5]~3_combout + + .dataa(vcc), + .datab(\inst|inst4|inst36|$00000|auto_generated|result_node[5]~3_combout ), + .datac(vcc), + .datad(vcc), + .cin(gnd), + .combout(\inst|inst4|inst37|dffs[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst37|dffs[5]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst37|dffs[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y13_N4 +cycloneii_lcell_comb \inst|inst4|inst124|inst88~0 ( +// Equation(s): +// \inst|inst4|inst124|inst88~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst124|inst84~0_combout & \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [18])))) + + .dataa(\inst|inst4|inst107~0_combout ), + .datab(\inst|inst4|inst124|inst84~0_combout ), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [18]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst88~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst88~0 .lut_mask = 16'h0E0A; +defparam \inst|inst4|inst124|inst88~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X25_Y11_N1 +cycloneii_lcell_ff \inst|inst4|inst37|dffs[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst37|dffs[5]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst88~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst37|dffs [5])); + +// Location: LCCOMB_X24_Y12_N8 +cycloneii_lcell_comb \inst|inst4|inst38|$00000|auto_generated|result_node[5]~3 ( +// Equation(s): +// \inst|inst4|inst38|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst37|dffs [5])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst41|dffs [5])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst37|dffs [5]))))) + + .dataa(\inst|inst4|inst41|dffs [5]), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst37|dffs [5]), + .cin(gnd), + .combout(\inst|inst4|inst38|$00000|auto_generated|result_node[5]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst38|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hEF20; +defparam \inst|inst4|inst38|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y12_N0 +cycloneii_lcell_comb \inst|inst4|inst39|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst39|dffs[5]~feeder_combout = \inst|inst4|inst38|$00000|auto_generated|result_node[5]~3_combout + + .dataa(vcc), + .datab(\inst|inst4|inst38|$00000|auto_generated|result_node[5]~3_combout ), + .datac(vcc), + .datad(vcc), + .cin(gnd), + .combout(\inst|inst4|inst39|dffs[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst39|dffs[5]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst39|dffs[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y13_N0 +cycloneii_lcell_comb \inst|inst4|inst124|inst87~0 ( +// Equation(s): +// \inst|inst4|inst124|inst87~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [19] & \inst|inst4|inst124|inst84~0_combout )))) + + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [19]), + .datac(\inst|inst4|inst124|inst84~0_combout ), + .datad(\inst|inst4|inst107~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst124|inst87~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst87~0 .lut_mask = 16'h5540; +defparam \inst|inst4|inst124|inst87~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X24_Y12_N1 +cycloneii_lcell_ff \inst|inst4|inst39|dffs[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst39|dffs[5]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst87~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst39|dffs [5])); + +// Location: LCCOMB_X31_Y12_N6 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~91 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~91_combout = (\addr~combout [1] & (((\addr~combout [0])))) # (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst35|dffs [5])) # (!\addr~combout [0] & ((\inst|inst4|inst33|dffs [5]))))) + + .dataa(\inst|inst4|inst35|dffs [5]), + .datab(\inst|inst4|inst33|dffs [5]), + .datac(\addr~combout [1]), + .datad(\addr~combout [0]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~91_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~91 .lut_mask = 16'hFA0C; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~91 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y12_N22 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~92 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~92_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~91_combout & (\inst|inst4|inst39|dffs [5])) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~91_combout & ((\inst|inst4|inst37|dffs [5]))))) # (!\addr~combout [1] & (((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~91_combout )))) + + .dataa(\addr~combout [1]), + .datab(\inst|inst4|inst39|dffs [5]), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~91_combout ), + .datad(\inst|inst4|inst37|dffs [5]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~92_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~92 .lut_mask = 16'hDAD0; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~92 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X27_Y12_N8 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~60 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~60_combout = (!\addr~combout [3] & ((\addr~combout [2] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~90_combout )) # (!\addr~combout [2] & +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~92_combout ))))) + + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~90_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~92_combout ), + .datac(\addr~combout [3]), + .datad(\addr~combout [2]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~60_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~60 .lut_mask = 16'h0A0C; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~60 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X27_Y12_N26 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~67 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~67_combout = (\addr~combout [4] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~60_combout ) # +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~66_combout & \addr~combout [3])))) + + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~66_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~60_combout ), + .datac(\addr~combout [3]), + .datad(\addr~combout [4]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~67_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~67 .lut_mask = 16'hEC00; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~67 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X22_Y11_N18 +cycloneii_lcell_comb \inst|inst4|inst67|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst67|dffs[5]~feeder_combout = \inst|inst4|inst66|$00000|auto_generated|result_node[5]~3_combout + + .dataa(\inst|inst4|inst66|$00000|auto_generated|result_node[5]~3_combout ), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(gnd), + .combout(\inst|inst4|inst67|dffs[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst67|dffs[5]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst67|dffs[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X20_Y13_N12 +cycloneii_lcell_comb \inst|inst4|inst124|inst73~0 ( +// Equation(s): +// \inst|inst4|inst124|inst73~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [33] & \inst|inst4|inst124|inst84~0_combout )))) + + .dataa(\inst|inst4|inst107~0_combout ), + .datab(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [33]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst124|inst84~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst124|inst73~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst73~0 .lut_mask = 16'h0E0A; +defparam \inst|inst4|inst124|inst73~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X22_Y11_N19 +cycloneii_lcell_ff \inst|inst4|inst67|dffs[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst67|dffs[5]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst73~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst67|dffs [5])); + +// Location: LCCOMB_X23_Y10_N2 +cycloneii_lcell_comb \inst|inst4|inst62|$00000|auto_generated|result_node[5]~3 ( +// Equation(s): +// \inst|inst4|inst62|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst61|dffs [5])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst65|dffs [5]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst61|dffs [5])))) + + .dataa(\inst|inst4|inst61|dffs [5]), + .datab(\inst|inst4|inst65|dffs [5]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst62|$00000|auto_generated|result_node[5]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst62|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hACAA; +defparam \inst|inst4|inst62|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X22_Y13_N28 +cycloneii_lcell_comb \inst|inst4|inst63|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst63|dffs[5]~feeder_combout = \inst|inst4|inst62|$00000|auto_generated|result_node[5]~3_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst62|$00000|auto_generated|result_node[5]~3_combout ), + .cin(gnd), + .combout(\inst|inst4|inst63|dffs[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst63|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst63|dffs[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X22_Y13_N8 +cycloneii_lcell_comb \inst|inst4|inst124|inst75~0 ( +// Equation(s): +// \inst|inst4|inst124|inst75~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [31] & \inst|inst4|inst124|inst84~0_combout )))) + + .dataa(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [31]), + .datab(\inst|inst4|inst107~0_combout ), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst124|inst84~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst124|inst75~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst75~0 .lut_mask = 16'h0E0C; +defparam \inst|inst4|inst124|inst75~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X22_Y13_N29 +cycloneii_lcell_ff \inst|inst4|inst63|dffs[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst63|dffs[5]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst75~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst63|dffs [5])); + +// Location: LCCOMB_X22_Y11_N4 +cycloneii_lcell_comb \inst|inst4|inst64|$00000|auto_generated|result_node[5]~3 ( +// Equation(s): +// \inst|inst4|inst64|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst63|dffs [5]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst67|dffs [5])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst63|dffs [5])))) + + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst67|dffs [5]), + .datac(\inst|inst4|inst63|dffs [5]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst64|$00000|auto_generated|result_node[5]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst64|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst64|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X23_Y11_N10 +cycloneii_lcell_comb \inst|inst4|inst65|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst65|dffs[5]~feeder_combout = \inst|inst4|inst64|$00000|auto_generated|result_node[5]~3_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst64|$00000|auto_generated|result_node[5]~3_combout ), + .cin(gnd), + .combout(\inst|inst4|inst65|dffs[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst65|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst65|dffs[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X20_Y13_N22 +cycloneii_lcell_comb \inst|inst4|inst124|inst74~0 ( +// Equation(s): +// \inst|inst4|inst124|inst74~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [32] & \inst|inst4|inst124|inst84~0_combout )))) + + .dataa(\inst|inst4|inst107~0_combout ), + .datab(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [32]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst124|inst84~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst124|inst74~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst74~0 .lut_mask = 16'h0E0A; +defparam \inst|inst4|inst124|inst74~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X23_Y11_N11 +cycloneii_lcell_ff \inst|inst4|inst65|dffs[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst65|dffs[5]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst74~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst65|dffs [5])); + +// Location: LCCOMB_X23_Y10_N14 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~96 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~96_combout = (\addr~combout [0] & ((\addr~combout [1]) # ((\inst|inst4|inst67|dffs [5])))) # (!\addr~combout [0] & (!\addr~combout [1] & ((\inst|inst4|inst65|dffs [5])))) + + .dataa(\addr~combout [0]), + .datab(\addr~combout [1]), + .datac(\inst|inst4|inst67|dffs [5]), + .datad(\inst|inst4|inst65|dffs [5]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~96_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~96 .lut_mask = 16'hB9A8; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~96 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X26_Y11_N6 +cycloneii_lcell_comb \inst|inst4|inst81|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst81|dffs[5]~feeder_combout = \inst|inst4|inst80|$00000|auto_generated|result_node[5]~3_combout + + .dataa(\inst|inst4|inst80|$00000|auto_generated|result_node[5]~3_combout ), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(gnd), + .combout(\inst|inst4|inst81|dffs[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst81|dffs[5]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst81|dffs[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X26_Y11_N26 +cycloneii_lcell_comb \inst|inst4|inst124|inst66~0 ( +// Equation(s): +// \inst|inst4|inst124|inst66~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst124|inst84~0_combout & \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [40])))) + + .dataa(\inst|inst4|inst107~0_combout ), + .datab(\inst|inst4|inst124|inst84~0_combout ), + .datac(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [40]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst66~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst66~0 .lut_mask = 16'h00EA; +defparam \inst|inst4|inst124|inst66~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X26_Y11_N7 +cycloneii_lcell_ff \inst|inst4|inst81|dffs[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst81|dffs[5]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst66~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst81|dffs [5])); + +// Location: LCCOMB_X27_Y10_N12 +cycloneii_lcell_comb \inst|inst4|inst78|$00000|auto_generated|result_node[5]~3 ( +// Equation(s): +// \inst|inst4|inst78|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst77|dffs [5])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst81|dffs [5]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst77|dffs [5])) + + .dataa(\inst|inst4|inst77|dffs [5]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst81|dffs [5]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst78|$00000|auto_generated|result_node[5]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst78|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hAAE2; +defparam \inst|inst4|inst78|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X27_Y10_N2 +cycloneii_lcell_comb \inst|inst4|inst79|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst79|dffs[5]~feeder_combout = \inst|inst4|inst78|$00000|auto_generated|result_node[5]~3_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst78|$00000|auto_generated|result_node[5]~3_combout ), + .cin(gnd), + .combout(\inst|inst4|inst79|dffs[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst79|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst79|dffs[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X20_Y13_N10 +cycloneii_lcell_comb \inst|inst4|inst124|inst67~0 ( +// Equation(s): +// \inst|inst4|inst124|inst67~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [39] & \inst|inst4|inst124|inst84~0_combout )))) + + .dataa(\inst|inst4|inst107~0_combout ), + .datab(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [39]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst124|inst84~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst124|inst67~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst67~0 .lut_mask = 16'h0E0A; +defparam \inst|inst4|inst124|inst67~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X27_Y10_N3 +cycloneii_lcell_ff \inst|inst4|inst79|dffs[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst79|dffs[5]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst67~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst79|dffs [5])); + +// Location: LCCOMB_X23_Y10_N8 +cycloneii_lcell_comb \inst|inst4|inst76|$00000|auto_generated|result_node[5]~3 ( +// Equation(s): +// \inst|inst4|inst76|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst75|dffs [5])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst79|dffs [5]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst75|dffs [5])))) + + .dataa(\inst|inst4|inst75|dffs [5]), + .datab(\inst|inst4|inst79|dffs [5]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst76|$00000|auto_generated|result_node[5]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst76|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hACAA; +defparam \inst|inst4|inst76|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X23_Y10_N0 +cycloneii_lcell_comb \inst|inst4|inst77|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst77|dffs[5]~feeder_combout = \inst|inst4|inst76|$00000|auto_generated|result_node[5]~3_combout + + .dataa(vcc), + .datab(\inst|inst4|inst76|$00000|auto_generated|result_node[5]~3_combout ), + .datac(vcc), + .datad(vcc), + .cin(gnd), + .combout(\inst|inst4|inst77|dffs[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst77|dffs[5]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst77|dffs[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y13_N12 +cycloneii_lcell_comb \inst|inst4|inst124|inst68~0 ( +// Equation(s): +// \inst|inst4|inst124|inst68~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst124|inst84~0_combout & \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [38])))) + + .dataa(\inst|inst4|inst107~0_combout ), + .datab(\inst|inst4|inst124|inst84~0_combout ), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [38]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst68~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst68~0 .lut_mask = 16'h0E0A; +defparam \inst|inst4|inst124|inst68~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X23_Y10_N1 +cycloneii_lcell_ff \inst|inst4|inst77|dffs[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst77|dffs[5]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst68~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst77|dffs [5])); + +// Location: LCCOMB_X23_Y10_N10 +cycloneii_lcell_comb \inst|inst4|inst74|$00000|auto_generated|result_node[5]~3 ( +// Equation(s): +// \inst|inst4|inst74|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst73|dffs [5]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst77|dffs [5])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst73|dffs [5])))) + + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst77|dffs [5]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst73|dffs [5]), + .cin(gnd), + .combout(\inst|inst4|inst74|$00000|auto_generated|result_node[5]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst74|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hFD08; +defparam \inst|inst4|inst74|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y10_N4 +cycloneii_lcell_comb \inst|inst4|inst75|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst75|dffs[5]~feeder_combout = \inst|inst4|inst74|$00000|auto_generated|result_node[5]~3_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst74|$00000|auto_generated|result_node[5]~3_combout ), + .cin(gnd), + .combout(\inst|inst4|inst75|dffs[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst75|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst75|dffs[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y10_N6 +cycloneii_lcell_comb \inst|inst4|inst124|inst69~0 ( +// Equation(s): +// \inst|inst4|inst124|inst69~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst124|inst84~0_combout & \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [37])))) + + .dataa(\inst|inst4|inst124|inst84~0_combout ), + .datab(\inst|inst4|inst107~0_combout ), + .datac(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [37]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst69~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst69~0 .lut_mask = 16'h00EC; +defparam \inst|inst4|inst124|inst69~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X24_Y10_N5 +cycloneii_lcell_ff \inst|inst4|inst75|dffs[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst75|dffs[5]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst69~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst75|dffs [5])); + +// Location: LCCOMB_X23_Y10_N4 +cycloneii_lcell_comb \inst|inst4|inst72|$00000|auto_generated|result_node[5]~3 ( +// Equation(s): +// \inst|inst4|inst72|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst71|dffs [5])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst75|dffs [5]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst71|dffs [5])) + + .dataa(\inst|inst4|inst71|dffs [5]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst75|dffs [5]), + .cin(gnd), + .combout(\inst|inst4|inst72|$00000|auto_generated|result_node[5]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst72|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hAEA2; +defparam \inst|inst4|inst72|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X23_Y10_N26 +cycloneii_lcell_comb \inst|inst4|inst73|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst73|dffs[5]~feeder_combout = \inst|inst4|inst72|$00000|auto_generated|result_node[5]~3_combout + + .dataa(vcc), + .datab(\inst|inst4|inst72|$00000|auto_generated|result_node[5]~3_combout ), + .datac(vcc), + .datad(vcc), + .cin(gnd), + .combout(\inst|inst4|inst73|dffs[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst73|dffs[5]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst73|dffs[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y10_N10 +cycloneii_lcell_comb \inst|inst4|inst124|inst70~0 ( +// Equation(s): +// \inst|inst4|inst124|inst70~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst124|inst84~0_combout & \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [36])))) + + .dataa(\inst|inst4|inst124|inst84~0_combout ), + .datab(\inst|inst4|inst107~0_combout ), + .datac(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [36]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst70~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst70~0 .lut_mask = 16'h00EC; +defparam \inst|inst4|inst124|inst70~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X23_Y10_N27 +cycloneii_lcell_ff \inst|inst4|inst73|dffs[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst73|dffs[5]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst70~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst73|dffs [5])); + +// Location: LCCOMB_X23_Y10_N30 +cycloneii_lcell_comb \inst|inst4|inst70|$00000|auto_generated|result_node[5]~3 ( +// Equation(s): +// \inst|inst4|inst70|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst68|dffs [5])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst73|dffs [5]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst68|dffs [5])))) + + .dataa(\inst|inst4|inst68|dffs [5]), + .datab(\inst|inst4|inst73|dffs [5]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst70|$00000|auto_generated|result_node[5]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst70|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hACAA; +defparam \inst|inst4|inst70|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X22_Y10_N14 +cycloneii_lcell_comb \inst|inst4|inst71|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst71|dffs[5]~feeder_combout = \inst|inst4|inst70|$00000|auto_generated|result_node[5]~3_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst70|$00000|auto_generated|result_node[5]~3_combout ), + .cin(gnd), + .combout(\inst|inst4|inst71|dffs[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst71|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst71|dffs[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X22_Y10_N20 +cycloneii_lcell_comb \inst|inst4|inst124|inst71~0 ( +// Equation(s): +// \inst|inst4|inst124|inst71~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [35] & \inst|inst4|inst124|inst84~0_combout )))) + + .dataa(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [35]), + .datab(\inst|inst4|inst107~0_combout ), + .datac(\inst|inst4|inst124|inst84~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst71~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst71~0 .lut_mask = 16'h00EC; +defparam \inst|inst4|inst124|inst71~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X22_Y10_N15 +cycloneii_lcell_ff \inst|inst4|inst71|dffs[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst71|dffs[5]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst71~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst71|dffs [5])); + +// Location: LCCOMB_X22_Y11_N26 +cycloneii_lcell_comb \inst|inst4|inst69|$00000|auto_generated|result_node[5]~3 ( +// Equation(s): +// \inst|inst4|inst69|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst67|dffs [5])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst71|dffs [5]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst67|dffs [5])) + + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst67|dffs [5]), + .datac(\inst|inst4|inst71|dffs [5]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst69|$00000|auto_generated|result_node[5]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst69|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst69|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X22_Y11_N24 +cycloneii_lcell_comb \inst|inst4|inst68|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst68|dffs[5]~feeder_combout = \inst|inst4|inst69|$00000|auto_generated|result_node[5]~3_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst69|$00000|auto_generated|result_node[5]~3_combout ), + .cin(gnd), + .combout(\inst|inst4|inst68|dffs[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst68|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst68|dffs[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X22_Y11_N28 +cycloneii_lcell_comb \inst|inst4|inst124|inst72~0 ( +// Equation(s): +// \inst|inst4|inst124|inst72~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [34] & \inst|inst4|inst124|inst84~0_combout )))) + + .dataa(\inst|inst4|inst107~0_combout ), + .datab(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [34]), + .datac(\inst|inst4|inst124|inst84~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst72~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst72~0 .lut_mask = 16'h00EA; +defparam \inst|inst4|inst124|inst72~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X22_Y11_N25 +cycloneii_lcell_ff \inst|inst4|inst68|dffs[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst68|dffs[5]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst72~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst68|dffs [5])); + +// Location: LCCOMB_X23_Y10_N24 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~97 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~97_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~96_combout & ((\inst|inst4|inst71|dffs [5]) # ((!\addr~combout [1])))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~96_combout & (((\addr~combout [1] & \inst|inst4|inst68|dffs [5])))) + + .dataa(\inst|inst4|inst71|dffs [5]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~96_combout ), + .datac(\addr~combout [1]), + .datad(\inst|inst4|inst68|dffs [5]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~97_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~97 .lut_mask = 16'hBC8C; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~97 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X26_Y12_N8 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout = (!\addr~combout [3] & !\addr~combout [2]) + + .dataa(vcc), + .datab(\addr~combout [3]), + .datac(vcc), + .datad(\addr~combout [2]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16 .lut_mask = 16'h0033; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X27_Y12_N14 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~98 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~98_combout = (\addr~combout [5] & ((\addr~combout [4]) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~97_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout )))) + + .dataa(\addr~combout [4]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~97_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout ), + .datad(\addr~combout [5]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~98_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~98 .lut_mask = 16'hEA00; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~98 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y13_N10 +cycloneii_lcell_comb \inst|inst4|inst97|$00000|auto_generated|result_node[5]~3 ( +// Equation(s): +// \inst|inst4|inst97|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst95|dffs [5])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst99|dffs [5])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst95|dffs [5]))))) + + .dataa(\inst|inst4|inst99|dffs [5]), + .datab(\inst|inst4|inst95|dffs [5]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst97|$00000|auto_generated|result_node[5]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst97|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hCACC; +defparam \inst|inst4|inst97|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y13_N22 +cycloneii_lcell_comb \inst|inst4|inst96|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst96|dffs[5]~feeder_combout = \inst|inst4|inst97|$00000|auto_generated|result_node[5]~3_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst97|$00000|auto_generated|result_node[5]~3_combout ), + .cin(gnd), + .combout(\inst|inst4|inst96|dffs[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst96|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst96|dffs[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y13_N20 +cycloneii_lcell_comb \inst|inst4|inst124|inst58~0 ( +// Equation(s): +// \inst|inst4|inst124|inst58~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst124|inst84~0_combout & \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [48])))) + + .dataa(\inst|inst4|inst107~0_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst124|inst84~0_combout ), + .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [48]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst58~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst58~0 .lut_mask = 16'h3222; +defparam \inst|inst4|inst124|inst58~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X30_Y13_N23 +cycloneii_lcell_ff \inst|inst4|inst96|dffs[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst96|dffs[5]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst58~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst96|dffs [5])); + +// Location: LCCOMB_X30_Y11_N16 +cycloneii_lcell_comb \inst|inst4|inst90|$00000|auto_generated|result_node[5]~3 ( +// Equation(s): +// \inst|inst4|inst90|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst89|dffs [5])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst93|dffs [5]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst89|dffs [5])))) + + .dataa(\inst|inst4|inst89|dffs [5]), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst93|dffs [5]), + .datad(\inst|inst4|inst108~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst90|$00000|auto_generated|result_node[5]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst90|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hB8AA; +defparam \inst|inst4|inst90|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y10_N10 +cycloneii_lcell_comb \inst|inst4|inst91|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst91|dffs[5]~feeder_combout = \inst|inst4|inst90|$00000|auto_generated|result_node[5]~3_combout + + .dataa(vcc), + .datab(\inst|inst4|inst90|$00000|auto_generated|result_node[5]~3_combout ), + .datac(vcc), + .datad(vcc), + .cin(gnd), + .combout(\inst|inst4|inst91|dffs[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst91|dffs[5]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst91|dffs[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X20_Y13_N24 +cycloneii_lcell_comb \inst|inst4|inst124|inst61~0 ( +// Equation(s): +// \inst|inst4|inst124|inst61~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [45] & \inst|inst4|inst124|inst84~0_combout )))) + + .dataa(\inst|inst4|inst107~0_combout ), + .datab(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [45]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst124|inst84~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst124|inst61~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst61~0 .lut_mask = 16'h0E0A; +defparam \inst|inst4|inst124|inst61~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X29_Y10_N11 +cycloneii_lcell_ff \inst|inst4|inst91|dffs[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst91|dffs[5]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst61~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst91|dffs [5])); + +// Location: LCCOMB_X30_Y11_N4 +cycloneii_lcell_comb \inst|inst4|inst92|$00000|auto_generated|result_node[5]~3 ( +// Equation(s): +// \inst|inst4|inst92|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst91|dffs [5]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst95|dffs [5])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst91|dffs [5])))) + + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst95|dffs [5]), + .datac(\inst|inst4|inst91|dffs [5]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst92|$00000|auto_generated|result_node[5]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst92|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst92|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y12_N12 +cycloneii_lcell_comb \inst|inst4|inst93|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst93|dffs[5]~feeder_combout = \inst|inst4|inst92|$00000|auto_generated|result_node[5]~3_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst92|$00000|auto_generated|result_node[5]~3_combout ), + .cin(gnd), + .combout(\inst|inst4|inst93|dffs[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst93|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst93|dffs[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y12_N22 +cycloneii_lcell_comb \inst|inst4|inst124|inst60~0 ( +// Equation(s): +// \inst|inst4|inst124|inst60~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst124|inst84~0_combout & \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [46])))) + + .dataa(\inst|inst4|inst107~0_combout ), + .datab(\inst|inst4|inst124|inst84~0_combout ), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [46]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst60~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst60~0 .lut_mask = 16'h0E0A; +defparam \inst|inst4|inst124|inst60~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X29_Y12_N13 +cycloneii_lcell_ff \inst|inst4|inst93|dffs[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst93|dffs[5]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst60~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst93|dffs [5])); + +// Location: LCCOMB_X29_Y12_N8 +cycloneii_lcell_comb \inst|inst4|inst94|$00000|auto_generated|result_node[5]~3 ( +// Equation(s): +// \inst|inst4|inst94|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst93|dffs [5]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst96|dffs [5])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst93|dffs [5])))) + + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst96|dffs [5]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst93|dffs [5]), + .cin(gnd), + .combout(\inst|inst4|inst94|$00000|auto_generated|result_node[5]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst94|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hFD08; +defparam \inst|inst4|inst94|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y12_N18 +cycloneii_lcell_comb \inst|inst4|inst95|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst95|dffs[5]~feeder_combout = \inst|inst4|inst94|$00000|auto_generated|result_node[5]~3_combout + + .dataa(vcc), + .datab(\inst|inst4|inst94|$00000|auto_generated|result_node[5]~3_combout ), + .datac(vcc), + .datad(vcc), + .cin(gnd), + .combout(\inst|inst4|inst95|dffs[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst95|dffs[5]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst95|dffs[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y12_N4 +cycloneii_lcell_comb \inst|inst4|inst124|inst59~0 ( +// Equation(s): +// \inst|inst4|inst124|inst59~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst124|inst84~0_combout & \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [47])))) + + .dataa(\inst|inst4|inst107~0_combout ), + .datab(\inst|inst4|inst124|inst84~0_combout ), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [47]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst59~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst59~0 .lut_mask = 16'h0E0A; +defparam \inst|inst4|inst124|inst59~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X29_Y12_N19 +cycloneii_lcell_ff \inst|inst4|inst95|dffs[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst95|dffs[5]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst59~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst95|dffs [5])); + +// Location: LCCOMB_X30_Y11_N30 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~100 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~100_combout = (\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst95|dffs [5]))) # (!\addr~combout [0] & (\inst|inst4|inst93|dffs [5])))) + + .dataa(\inst|inst4|inst93|dffs [5]), + .datab(\addr~combout [0]), + .datac(\inst|inst4|inst95|dffs [5]), + .datad(\addr~combout [1]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~100_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~100 .lut_mask = 16'hE200; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~100 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y11_N24 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~101 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~101_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~99_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~100_combout ))) + + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~99_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~100_combout ), + .datac(vcc), + .datad(\addr~combout [2]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~101_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~101 .lut_mask = 16'hEE00; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~101 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y11_N26 +cycloneii_lcell_comb \inst|inst4|inst86|$00000|auto_generated|result_node[5]~3 ( +// Equation(s): +// \inst|inst4|inst86|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst85|dffs [5]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst89|dffs [5])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst85|dffs [5])))) + + .dataa(\inst|inst4|inst89|dffs [5]), + .datab(\inst|inst4|inst85|dffs [5]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst86|$00000|auto_generated|result_node[5]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst86|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hCCAC; +defparam \inst|inst4|inst86|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y11_N8 +cycloneii_lcell_comb \inst|inst4|inst87|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst87|dffs[5]~feeder_combout = \inst|inst4|inst86|$00000|auto_generated|result_node[5]~3_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst86|$00000|auto_generated|result_node[5]~3_combout ), + .cin(gnd), + .combout(\inst|inst4|inst87|dffs[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst87|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst87|dffs[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y13_N22 +cycloneii_lcell_comb \inst|inst4|inst124|inst63~0 ( +// Equation(s): +// \inst|inst4|inst124|inst63~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst124|inst84~0_combout & \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [43])))) + + .dataa(\inst|inst4|inst107~0_combout ), + .datab(\inst|inst4|inst124|inst84~0_combout ), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [43]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst63~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst63~0 .lut_mask = 16'h0E0A; +defparam \inst|inst4|inst124|inst63~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X30_Y11_N9 +cycloneii_lcell_ff \inst|inst4|inst87|dffs[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst87|dffs[5]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst63~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst87|dffs [5])); + +// Location: LCCOMB_X30_Y11_N28 +cycloneii_lcell_comb \inst|inst4|inst84|$00000|auto_generated|result_node[5]~3 ( +// Equation(s): +// \inst|inst4|inst84|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst82|dffs [5]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst87|dffs [5])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst82|dffs [5])))) + + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst87|dffs [5]), + .datad(\inst|inst4|inst82|dffs [5]), + .cin(gnd), + .combout(\inst|inst4|inst84|$00000|auto_generated|result_node[5]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst84|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hFD20; +defparam \inst|inst4|inst84|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y11_N18 +cycloneii_lcell_comb \inst|inst4|inst85|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst85|dffs[5]~feeder_combout = \inst|inst4|inst84|$00000|auto_generated|result_node[5]~3_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst84|$00000|auto_generated|result_node[5]~3_combout ), + .cin(gnd), + .combout(\inst|inst4|inst85|dffs[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst85|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst85|dffs[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y13_N24 +cycloneii_lcell_comb \inst|inst4|inst124|inst64~0 ( +// Equation(s): +// \inst|inst4|inst124|inst64~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst124|inst84~0_combout & \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [42])))) + + .dataa(\inst|inst4|inst107~0_combout ), + .datab(\inst|inst4|inst124|inst84~0_combout ), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [42]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst64~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst64~0 .lut_mask = 16'h0E0A; +defparam \inst|inst4|inst124|inst64~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X30_Y11_N19 +cycloneii_lcell_ff \inst|inst4|inst85|dffs[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst85|dffs[5]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst64~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst85|dffs [5])); + +// Location: LCCOMB_X30_Y11_N22 +cycloneii_lcell_comb \inst|inst4|inst83|$00000|auto_generated|result_node[5]~3 ( +// Equation(s): +// \inst|inst4|inst83|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst81|dffs [5]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst85|dffs [5])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst81|dffs [5])))) + + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst85|dffs [5]), + .datac(\inst|inst4|inst81|dffs [5]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst83|$00000|auto_generated|result_node[5]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst83|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst83|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X26_Y11_N20 +cycloneii_lcell_comb \inst|inst4|inst82|dffs[5]~feeder ( +// Equation(s): +// \inst|inst4|inst82|dffs[5]~feeder_combout = \inst|inst4|inst83|$00000|auto_generated|result_node[5]~3_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst83|$00000|auto_generated|result_node[5]~3_combout ), + .cin(gnd), + .combout(\inst|inst4|inst82|dffs[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst82|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst82|dffs[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X26_Y11_N0 +cycloneii_lcell_comb \inst|inst4|inst124|inst65~0 ( +// Equation(s): +// \inst|inst4|inst124|inst65~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst124|inst84~0_combout & \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [41])))) + + .dataa(\inst|inst4|inst107~0_combout ), + .datab(\inst|inst4|inst124|inst84~0_combout ), + .datac(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [41]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst65~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst65~0 .lut_mask = 16'h00EA; +defparam \inst|inst4|inst124|inst65~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X26_Y11_N21 +cycloneii_lcell_ff \inst|inst4|inst82|dffs[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst82|dffs[5]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst65~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst82|dffs [5])); + +// Location: LCCOMB_X30_Y11_N10 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~102 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~102_combout = (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst82|dffs [5]))) # (!\addr~combout [0] & (\inst|inst4|inst81|dffs [5])))) + + .dataa(\addr~combout [1]), + .datab(\inst|inst4|inst81|dffs [5]), + .datac(\addr~combout [0]), + .datad(\inst|inst4|inst82|dffs [5]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~102_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~102 .lut_mask = 16'h5404; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~102 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y11_N6 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~104 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~104_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~101_combout ) # ((!\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~103_combout ) # +// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~102_combout )))) + + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~103_combout ), + .datab(\addr~combout [2]), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~101_combout ), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~102_combout ), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~104_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~104 .lut_mask = 16'hF3F2; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~104 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X26_Y12_N22 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout = (\addr~combout [5] & \addr~combout [3]) + + .dataa(\addr~combout [5]), + .datab(vcc), + .datac(vcc), + .datad(\addr~combout [3]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35 .lut_mask = 16'hAA00; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X27_Y12_N16 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~105 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~105_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~95_combout ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~98_combout ) # +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~104_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout ))) + + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~95_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~98_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~104_combout ), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout ), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~105_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~105 .lut_mask = 16'hFEEE; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~105 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X27_Y12_N30 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~155 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~155_combout = ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~88_combout & (!\addr~combout [3] & !\addr~combout [2]))) # (!\addr~combout [4]) + + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~88_combout ), + .datab(\addr~combout [4]), + .datac(\addr~combout [3]), + .datad(\addr~combout [2]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~155_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~155 .lut_mask = 16'h333B; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~155 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X27_Y12_N10 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~59_combout ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~105_combout & +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~155_combout ))) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~105_combout & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~67_combout ))) + + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~59_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~67_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~105_combout ), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~155_combout ), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68 .lut_mask = 16'hFEAE; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X25_Y12_N0 +cycloneii_lcell_comb \inst|inst4|inst41|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst41|dffs[4]~feeder_combout = \inst|inst4|inst40|$00000|auto_generated|result_node[4]~0_combout + + .dataa(\inst|inst4|inst40|$00000|auto_generated|result_node[4]~0_combout ), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(gnd), + .combout(\inst|inst4|inst41|dffs[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst41|dffs[4]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst41|dffs[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y13_N16 +cycloneii_lcell_comb \inst|inst4|inst124|inst86~0 ( +// Equation(s): +// \inst|inst4|inst124|inst86~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [20] & \inst|inst4|inst124|inst84~0_combout )))) + + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [20]), + .datac(\inst|inst4|inst124|inst84~0_combout ), + .datad(\inst|inst4|inst107~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst124|inst86~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst86~0 .lut_mask = 16'h5540; +defparam \inst|inst4|inst124|inst86~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X25_Y12_N1 +cycloneii_lcell_ff \inst|inst4|inst41|dffs[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst41|dffs[4]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst86~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst41|dffs [4])); + +// Location: LCCOMB_X25_Y12_N30 +cycloneii_lcell_comb \inst|inst4|inst38|$00000|auto_generated|result_node[4]~0 ( +// Equation(s): +// \inst|inst4|inst38|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst37|dffs [4])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst41|dffs [4]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst37|dffs [4])))) + + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst37|dffs [4]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst41|dffs [4]), + .cin(gnd), + .combout(\inst|inst4|inst38|$00000|auto_generated|result_node[4]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst38|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hDC8C; +defparam \inst|inst4|inst38|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y12_N16 +cycloneii_lcell_comb \inst|inst4|inst39|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst39|dffs[4]~feeder_combout = \inst|inst4|inst38|$00000|auto_generated|result_node[4]~0_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst38|$00000|auto_generated|result_node[4]~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst39|dffs[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst39|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst39|dffs[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X24_Y12_N17 +cycloneii_lcell_ff \inst|inst4|inst39|dffs[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst39|dffs[4]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst87~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst39|dffs [4])); + +// Location: LCCOMB_X25_Y12_N2 +cycloneii_lcell_comb \inst|inst4|inst36|$00000|auto_generated|result_node[4]~0 ( +// Equation(s): +// \inst|inst4|inst36|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst35|dffs [4])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst39|dffs [4]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst35|dffs [4])) + + .dataa(\inst|inst4|inst35|dffs [4]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst39|dffs [4]), + .cin(gnd), + .combout(\inst|inst4|inst36|$00000|auto_generated|result_node[4]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst36|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hAEA2; +defparam \inst|inst4|inst36|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X25_Y12_N26 +cycloneii_lcell_comb \inst|inst4|inst37|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst37|dffs[4]~feeder_combout = \inst|inst4|inst36|$00000|auto_generated|result_node[4]~0_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst36|$00000|auto_generated|result_node[4]~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst37|dffs[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst37|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst37|dffs[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X25_Y12_N27 +cycloneii_lcell_ff \inst|inst4|inst37|dffs[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst37|dffs[4]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst88~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst37|dffs [4])); + +// Location: LCCOMB_X31_Y12_N2 +cycloneii_lcell_comb \inst|inst4|inst33|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst33|dffs[4]~feeder_combout = \inst|inst4|inst32|$00000|auto_generated|result_node[4]~0_combout + + .dataa(\inst|inst4|inst32|$00000|auto_generated|result_node[4]~0_combout ), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(gnd), + .combout(\inst|inst4|inst33|dffs[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst33|dffs[4]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst33|dffs[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X31_Y12_N3 +cycloneii_lcell_ff \inst|inst4|inst33|dffs[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst33|dffs[4]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst90~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst33|dffs [4])); + +// Location: LCCOMB_X25_Y12_N20 +cycloneii_lcell_comb \inst|inst4|inst34|$00000|auto_generated|result_node[4]~0 ( +// Equation(s): +// \inst|inst4|inst34|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst33|dffs [4])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst37|dffs [4]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst33|dffs [4])))) + + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst33|dffs [4]), + .datad(\inst|inst4|inst37|dffs [4]), + .cin(gnd), + .combout(\inst|inst4|inst34|$00000|auto_generated|result_node[4]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst34|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hF4B0; +defparam \inst|inst4|inst34|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X25_Y13_N0 +cycloneii_lcell_comb \inst|inst4|inst35|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst35|dffs[4]~feeder_combout = \inst|inst4|inst34|$00000|auto_generated|result_node[4]~0_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst34|$00000|auto_generated|result_node[4]~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst35|dffs[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst35|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst35|dffs[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X25_Y13_N1 +cycloneii_lcell_ff \inst|inst4|inst35|dffs[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst35|dffs[4]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst89~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst35|dffs [4])); + +// Location: LCCOMB_X25_Y12_N8 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~21 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~21_combout = (\addr~combout [0] & (((\inst|inst4|inst35|dffs [4]) # (\addr~combout [1])))) # (!\addr~combout [0] & (\inst|inst4|inst33|dffs [4] & ((!\addr~combout [1])))) + + .dataa(\addr~combout [0]), + .datab(\inst|inst4|inst33|dffs [4]), + .datac(\inst|inst4|inst35|dffs [4]), + .datad(\addr~combout [1]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~21_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~21 .lut_mask = 16'hAAE4; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~21 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X25_Y12_N18 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~22 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~22_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~21_combout & ((\inst|inst4|inst39|dffs [4]))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~21_combout & (\inst|inst4|inst37|dffs [4])))) # (!\addr~combout [1] & (((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~21_combout )))) + + .dataa(\addr~combout [1]), + .datab(\inst|inst4|inst37|dffs [4]), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~21_combout ), + .datad(\inst|inst4|inst39|dffs [4]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~22_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~22 .lut_mask = 16'hF858; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~22 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X25_Y12_N6 +cycloneii_lcell_comb \inst|inst4|inst43|$00000|auto_generated|result_node[4]~0 ( +// Equation(s): +// \inst|inst4|inst43|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst41|dffs [4])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst45|dffs [4])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst41|dffs [4]))))) + + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst45|dffs [4]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst41|dffs [4]), + .cin(gnd), + .combout(\inst|inst4|inst43|$00000|auto_generated|result_node[4]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst43|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hEF40; +defparam \inst|inst4|inst43|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X25_Y14_N16 +cycloneii_lcell_comb \inst|inst4|inst42|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst42|dffs[4]~feeder_combout = \inst|inst4|inst43|$00000|auto_generated|result_node[4]~0_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst43|$00000|auto_generated|result_node[4]~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst42|dffs[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst42|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst42|dffs[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y13_N14 +cycloneii_lcell_comb \inst|inst4|inst124|inst85~0 ( +// Equation(s): +// \inst|inst4|inst124|inst85~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst124|inst84~0_combout & \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [21])))) + + .dataa(\inst|inst4|inst107~0_combout ), + .datab(\inst|inst4|inst124|inst84~0_combout ), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [21]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst85~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst85~0 .lut_mask = 16'h0E0A; +defparam \inst|inst4|inst124|inst85~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X25_Y14_N17 +cycloneii_lcell_ff \inst|inst4|inst42|dffs[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst42|dffs[4]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst85~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst42|dffs [4])); + +// Location: LCCOMB_X25_Y15_N30 +cycloneii_lcell_comb \inst|inst4|inst44|$00000|auto_generated|result_node[4]~0 ( +// Equation(s): +// \inst|inst4|inst44|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst42|dffs [4])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst47|dffs [4]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst42|dffs [4])) + + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst42|dffs [4]), + .datac(\inst|inst4|inst47|dffs [4]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst44|$00000|auto_generated|result_node[4]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst44|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst44|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X25_Y15_N0 +cycloneii_lcell_comb \inst|inst4|inst45|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst45|dffs[4]~feeder_combout = \inst|inst4|inst44|$00000|auto_generated|result_node[4]~0_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst44|$00000|auto_generated|result_node[4]~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst45|dffs[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst45|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst45|dffs[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y13_N28 +cycloneii_lcell_comb \inst|inst4|inst124|inst84~1 ( +// Equation(s): +// \inst|inst4|inst124|inst84~1_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst124|inst84~0_combout & \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [22])))) + + .dataa(\inst|inst4|inst107~0_combout ), + .datab(\inst|inst4|inst124|inst84~0_combout ), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [22]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst84~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst84~1 .lut_mask = 16'h0E0A; +defparam \inst|inst4|inst124|inst84~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X25_Y15_N1 +cycloneii_lcell_ff \inst|inst4|inst45|dffs[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst45|dffs[4]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst84~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst45|dffs [4])); + +// Location: LCCOMB_X24_Y15_N0 +cycloneii_lcell_comb \inst|inst4|inst51|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst51|dffs[4]~feeder_combout = \inst|inst4|inst50|$00000|auto_generated|result_node[4]~0_combout + + .dataa(\inst|inst4|inst50|$00000|auto_generated|result_node[4]~0_combout ), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(gnd), + .combout(\inst|inst4|inst51|dffs[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst51|dffs[4]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst51|dffs[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y15_N16 +cycloneii_lcell_comb \inst|inst4|inst124|inst81~0 ( +// Equation(s): +// \inst|inst4|inst124|inst81~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [25] & \inst|inst4|inst124|inst84~0_combout )))) + + .dataa(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [25]), + .datab(\inst|inst4|inst107~0_combout ), + .datac(\inst|inst4|inst124|inst84~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst81~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst81~0 .lut_mask = 16'h00EC; +defparam \inst|inst4|inst124|inst81~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X24_Y15_N1 +cycloneii_lcell_ff \inst|inst4|inst51|dffs[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst51|dffs[4]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst81~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst51|dffs [4])); + +// Location: LCCOMB_X24_Y15_N2 +cycloneii_lcell_comb \inst|inst4|inst48|$00000|auto_generated|result_node[4]~0 ( +// Equation(s): +// \inst|inst4|inst48|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst47|dffs [4])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst51|dffs [4]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst47|dffs [4])) + + .dataa(\inst|inst4|inst47|dffs [4]), + .datab(\inst|inst4|inst51|dffs [4]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst48|$00000|auto_generated|result_node[4]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst48|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hAACA; +defparam \inst|inst4|inst48|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y15_N10 +cycloneii_lcell_comb \inst|inst4|inst49|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst49|dffs[4]~feeder_combout = \inst|inst4|inst48|$00000|auto_generated|result_node[4]~0_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst48|$00000|auto_generated|result_node[4]~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst49|dffs[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst49|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst49|dffs[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y15_N28 +cycloneii_lcell_comb \inst|inst4|inst124|inst82~0 ( +// Equation(s): +// \inst|inst4|inst124|inst82~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst124|inst84~0_combout & \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [24])))) + + .dataa(\inst|inst4|inst124|inst84~0_combout ), + .datab(\inst|inst4|inst107~0_combout ), + .datac(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [24]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst82~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst82~0 .lut_mask = 16'h00EC; +defparam \inst|inst4|inst124|inst82~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X24_Y15_N11 +cycloneii_lcell_ff \inst|inst4|inst49|dffs[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst49|dffs[4]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst82~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst49|dffs [4])); + +// Location: LCCOMB_X25_Y15_N8 +cycloneii_lcell_comb \inst|inst4|inst46|$00000|auto_generated|result_node[4]~0 ( +// Equation(s): +// \inst|inst4|inst46|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst45|dffs [4])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst49|dffs [4]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst45|dffs [4])) + + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst45|dffs [4]), + .datac(\inst|inst4|inst49|dffs [4]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst46|$00000|auto_generated|result_node[4]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst46|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst46|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X25_Y15_N26 +cycloneii_lcell_comb \inst|inst4|inst47|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst47|dffs[4]~feeder_combout = \inst|inst4|inst46|$00000|auto_generated|result_node[4]~0_combout + + .dataa(vcc), + .datab(\inst|inst4|inst46|$00000|auto_generated|result_node[4]~0_combout ), + .datac(vcc), + .datad(vcc), + .cin(gnd), + .combout(\inst|inst4|inst47|dffs[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst47|dffs[4]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst47|dffs[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y13_N2 +cycloneii_lcell_comb \inst|inst4|inst124|inst83~0 ( +// Equation(s): +// \inst|inst4|inst124|inst83~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [23] & \inst|inst4|inst124|inst84~0_combout )))) + + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [23]), + .datac(\inst|inst4|inst124|inst84~0_combout ), + .datad(\inst|inst4|inst107~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst124|inst83~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst83~0 .lut_mask = 16'h5540; +defparam \inst|inst4|inst124|inst83~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X25_Y15_N27 +cycloneii_lcell_ff \inst|inst4|inst47|dffs[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst47|dffs[4]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst83~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst47|dffs [4])); + +// Location: LCCOMB_X25_Y12_N22 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~20 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~20_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~19_combout & ((\inst|inst4|inst47|dffs [4]) # ((!\addr~combout [1])))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~19_combout & (((\inst|inst4|inst45|dffs [4] & \addr~combout [1])))) + + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~19_combout ), + .datab(\inst|inst4|inst47|dffs [4]), + .datac(\inst|inst4|inst45|dffs [4]), + .datad(\addr~combout [1]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~20_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~20 .lut_mask = 16'hD8AA; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~20 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X25_Y12_N28 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~9 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~9_combout = (!\addr~combout [3] & ((\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~20_combout ))) # (!\addr~combout [2] & +// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~22_combout )))) + + .dataa(\addr~combout [2]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~22_combout ), + .datac(\addr~combout [3]), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~20_combout ), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~9_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~9 .lut_mask = 16'h0E04; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~9 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X22_Y15_N8 +cycloneii_lcell_comb \inst|inst4|inst54|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst54|dffs[4]~feeder_combout = \inst|inst4|inst55|$00000|auto_generated|result_node[4]~0_combout + + .dataa(\inst|inst4|inst55|$00000|auto_generated|result_node[4]~0_combout ), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(gnd), + .combout(\inst|inst4|inst54|dffs[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst54|dffs[4]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst54|dffs[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X22_Y14_N0 +cycloneii_lcell_comb \inst|inst4|inst124|inst79~0 ( +// Equation(s): +// \inst|inst4|inst124|inst79~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst124|inst84~0_combout & \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [27])))) + + .dataa(\inst|inst4|inst124|inst84~0_combout ), + .datab(\inst|inst4|inst107~0_combout ), + .datac(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [27]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst79~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst79~0 .lut_mask = 16'h00EC; +defparam \inst|inst4|inst124|inst79~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X22_Y15_N9 +cycloneii_lcell_ff \inst|inst4|inst54|dffs[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst54|dffs[4]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst79~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst54|dffs [4])); + +// Location: LCCOMB_X22_Y15_N30 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~14 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~14_combout = (\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst54|dffs [4]))) # (!\addr~combout [0] & (\inst|inst4|inst53|dffs [4])))) + + .dataa(\inst|inst4|inst53|dffs [4]), + .datab(\addr~combout [1]), + .datac(\inst|inst4|inst54|dffs [4]), + .datad(\addr~combout [0]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~14_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~14 .lut_mask = 16'hC088; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~14 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: PIN_T21, I/O Standard: 3.3-V LVTTL, Current Strength: Default -cycloneii_io \rst~I ( - .datain(gnd), - .oe(gnd), - .outclk(gnd), - .outclkena(vcc), - .inclk(gnd), - .inclkena(vcc), - .areset(gnd), - .sreset(gnd), - .differentialin(gnd), - .linkin(gnd), +// Location: LCCOMB_X22_Y15_N2 +cycloneii_lcell_comb \inst|inst4|inst58|$00000|auto_generated|result_node[4]~0 ( +// Equation(s): +// \inst|inst4|inst58|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst57|dffs [4])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst61|dffs [4]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst57|dffs [4])) + + .dataa(\inst|inst4|inst57|dffs [4]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst61|dffs [4]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst58|$00000|auto_generated|result_node[4]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst58|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hAAE2; +defparam \inst|inst4|inst58|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X23_Y12_N16 +cycloneii_lcell_comb \inst|inst4|inst59|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst59|dffs[4]~feeder_combout = \inst|inst4|inst58|$00000|auto_generated|result_node[4]~0_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst58|$00000|auto_generated|result_node[4]~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst59|dffs[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst59|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst59|dffs[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y13_N10 +cycloneii_lcell_comb \inst|inst4|inst124|inst77~0 ( +// Equation(s): +// \inst|inst4|inst124|inst77~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst124|inst84~0_combout & \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [29])))) + + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst107~0_combout ), + .datac(\inst|inst4|inst124|inst84~0_combout ), + .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [29]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst77~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst77~0 .lut_mask = 16'h5444; +defparam \inst|inst4|inst124|inst77~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X23_Y12_N17 +cycloneii_lcell_ff \inst|inst4|inst59|dffs[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst59|dffs[4]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst77~0_combout ), .devclrn(devclrn), .devpor(devpor), - .devoe(devoe), - .combout(\rst~combout ), - .regout(), - .differentialout(), - .linkout(), - .padio(rst)); + .regout(\inst|inst4|inst59|dffs [4])); + +// Location: LCCOMB_X22_Y12_N0 +cycloneii_lcell_comb \inst|inst4|inst62|$00000|auto_generated|result_node[4]~0 ( +// Equation(s): +// \inst|inst4|inst62|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst61|dffs [4]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst65|dffs [4])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst61|dffs [4])))) + + .dataa(\inst|inst4|inst65|dffs [4]), + .datab(\inst|inst4|inst61|dffs [4]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst62|$00000|auto_generated|result_node[4]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst62|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hCCAC; +defparam \inst|inst4|inst62|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X22_Y13_N0 +cycloneii_lcell_comb \inst|inst4|inst63|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst63|dffs[4]~feeder_combout = \inst|inst4|inst62|$00000|auto_generated|result_node[4]~0_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst62|$00000|auto_generated|result_node[4]~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst63|dffs[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst63|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst63|dffs[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X22_Y13_N1 +cycloneii_lcell_ff \inst|inst4|inst63|dffs[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst63|dffs[4]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst75~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst63|dffs [4])); + +// Location: LCCOMB_X22_Y13_N26 +cycloneii_lcell_comb \inst|inst4|inst60|$00000|auto_generated|result_node[4]~0 ( +// Equation(s): +// \inst|inst4|inst60|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst59|dffs [4])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst63|dffs [4]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst59|dffs [4])))) + + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst59|dffs [4]), + .datac(\inst|inst4|inst63|dffs [4]), + .datad(\inst|inst4|inst108~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst60|$00000|auto_generated|result_node[4]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst60|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hD8CC; +defparam \inst|inst4|inst60|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X22_Y13_N10 +cycloneii_lcell_comb \inst|inst4|inst61|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst61|dffs[4]~feeder_combout = \inst|inst4|inst60|$00000|auto_generated|result_node[4]~0_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst60|$00000|auto_generated|result_node[4]~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst61|dffs[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst61|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst61|dffs[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X22_Y13_N4 +cycloneii_lcell_comb \inst|inst4|inst124|inst76~0 ( +// Equation(s): +// \inst|inst4|inst124|inst76~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [30] & \inst|inst4|inst124|inst84~0_combout )))) + + .dataa(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [30]), + .datab(\inst|inst4|inst107~0_combout ), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst124|inst84~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst124|inst76~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst76~0 .lut_mask = 16'h0E0C; +defparam \inst|inst4|inst124|inst76~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X22_Y13_N11 +cycloneii_lcell_ff \inst|inst4|inst61|dffs[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst61|dffs[4]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst76~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst61|dffs [4])); + +// Location: LCCOMB_X22_Y15_N0 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~11 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~11_combout = (\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst63|dffs [4]))) # (!\addr~combout [0] & (\inst|inst4|inst61|dffs [4])))) + + .dataa(\addr~combout [0]), + .datab(\inst|inst4|inst61|dffs [4]), + .datac(\addr~combout [1]), + .datad(\inst|inst4|inst63|dffs [4]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~11_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~11 .lut_mask = 16'hE040; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~11 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X22_Y15_N26 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~12 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~12_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~10_combout ) # +// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~11_combout ))) + + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~10_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~11_combout ), + .datac(vcc), + .datad(\addr~combout [2]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~12_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~12 .lut_mask = 16'hEE00; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X22_Y15_N16 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~15 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~15_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~12_combout ) # ((!\addr~combout [2] & +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~13_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~14_combout )))) + + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~13_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~14_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~12_combout ), + .datad(\addr~combout [2]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~15_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~15 .lut_mask = 16'hF0FE; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~15 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X27_Y12_N22 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~16 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~16_combout = (\addr~combout [4] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~9_combout ) # ((\addr~combout [3] & +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~15_combout )))) + + .dataa(\addr~combout [3]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~9_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~15_combout ), + .datad(\addr~combout [4]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~16_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~16 .lut_mask = 16'hEC00; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~16 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y14_N18 +cycloneii_lcell_comb \inst|inst4|inst103|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst103|dffs[4]~feeder_combout = \inst|inst4|inst102|$00000|auto_generated|result_node[4]~0_combout + + .dataa(\inst|inst4|inst102|$00000|auto_generated|result_node[4]~0_combout ), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(gnd), + .combout(\inst|inst4|inst103|dffs[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst103|dffs[4]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst103|dffs[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y14_N10 +cycloneii_lcell_comb \inst|inst4|inst124|inst55~0 ( +// Equation(s): +// \inst|inst4|inst124|inst55~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [51] & \inst|inst4|inst124|inst84~0_combout )))) + + .dataa(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [51]), + .datab(\inst|inst4|inst107~0_combout ), + .datac(\inst|inst4|inst124|inst84~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst55~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst55~0 .lut_mask = 16'h00EC; +defparam \inst|inst4|inst124|inst55~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X29_Y14_N19 +cycloneii_lcell_ff \inst|inst4|inst103|dffs[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst103|dffs[4]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst55~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst103|dffs [4])); + +// Location: LCCOMB_X29_Y10_N0 +cycloneii_lcell_comb \inst|inst4|inst88|$00000|auto_generated|result_node[4]~0 ( +// Equation(s): +// \inst|inst4|inst88|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst87|dffs [4])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst91|dffs [4]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst87|dffs [4])) + + .dataa(\inst|inst4|inst87|dffs [4]), + .datab(\inst|inst4|inst91|dffs [4]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst88|$00000|auto_generated|result_node[4]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst88|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hAACA; +defparam \inst|inst4|inst88|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y11_N16 +cycloneii_lcell_comb \inst|inst4|inst89|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst89|dffs[4]~feeder_combout = \inst|inst4|inst88|$00000|auto_generated|result_node[4]~0_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst88|$00000|auto_generated|result_node[4]~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst89|dffs[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst89|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst89|dffs[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y11_N2 +cycloneii_lcell_comb \inst|inst4|inst124|inst62~0 ( +// Equation(s): +// \inst|inst4|inst124|inst62~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst124|inst84~0_combout & \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [44])))) + + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst107~0_combout ), + .datac(\inst|inst4|inst124|inst84~0_combout ), + .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [44]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst62~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst62~0 .lut_mask = 16'h5444; +defparam \inst|inst4|inst124|inst62~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X29_Y11_N17 +cycloneii_lcell_ff \inst|inst4|inst89|dffs[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst89|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst62~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst89|dffs [4])); + +// Location: LCCOMB_X29_Y10_N30 +cycloneii_lcell_comb \inst|inst4|inst90|$00000|auto_generated|result_node[4]~0 ( +// Equation(s): +// \inst|inst4|inst90|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst89|dffs [4]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst93|dffs [4])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst89|dffs [4])))) + + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst93|dffs [4]), + .datac(\inst|inst4|inst89|dffs [4]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst90|$00000|auto_generated|result_node[4]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst90|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst90|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y10_N8 +cycloneii_lcell_comb \inst|inst4|inst91|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst91|dffs[4]~feeder_combout = \inst|inst4|inst90|$00000|auto_generated|result_node[4]~0_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst90|$00000|auto_generated|result_node[4]~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst91|dffs[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst91|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst91|dffs[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X29_Y10_N9 +cycloneii_lcell_ff \inst|inst4|inst91|dffs[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst91|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst61~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst91|dffs [4])); + +// Location: LCCOMB_X29_Y10_N2 +cycloneii_lcell_comb \inst|inst4|inst92|$00000|auto_generated|result_node[4]~0 ( +// Equation(s): +// \inst|inst4|inst92|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst91|dffs [4]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst95|dffs [4])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst91|dffs [4])))) + + .dataa(\inst|inst4|inst95|dffs [4]), + .datab(\inst|inst4|inst91|dffs [4]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst92|$00000|auto_generated|result_node[4]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst92|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hCCAC; +defparam \inst|inst4|inst92|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y10_N26 +cycloneii_lcell_comb \inst|inst4|inst93|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst93|dffs[4]~feeder_combout = \inst|inst4|inst92|$00000|auto_generated|result_node[4]~0_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst92|$00000|auto_generated|result_node[4]~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst93|dffs[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst93|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst93|dffs[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X29_Y10_N27 +cycloneii_lcell_ff \inst|inst4|inst93|dffs[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst93|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst60~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst93|dffs [4])); + +// Location: LCCOMB_X29_Y12_N2 +cycloneii_lcell_comb \inst|inst4|inst94|$00000|auto_generated|result_node[4]~0 ( +// Equation(s): +// \inst|inst4|inst94|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst93|dffs [4])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst96|dffs [4]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst93|dffs [4])) + + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst93|dffs [4]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst96|dffs [4]), + .cin(gnd), + .combout(\inst|inst4|inst94|$00000|auto_generated|result_node[4]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst94|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hCEC4; +defparam \inst|inst4|inst94|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y12_N16 +cycloneii_lcell_comb \inst|inst4|inst95|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst95|dffs[4]~feeder_combout = \inst|inst4|inst94|$00000|auto_generated|result_node[4]~0_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst94|$00000|auto_generated|result_node[4]~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst95|dffs[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst95|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst95|dffs[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X29_Y12_N17 +cycloneii_lcell_ff \inst|inst4|inst95|dffs[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst95|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst59~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst95|dffs [4])); + +// Location: LCCOMB_X30_Y13_N2 +cycloneii_lcell_comb \inst|inst4|inst97|$00000|auto_generated|result_node[4]~0 ( +// Equation(s): +// \inst|inst4|inst97|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst95|dffs [4]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst99|dffs [4])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst95|dffs [4])))) + + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst99|dffs [4]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst95|dffs [4]), + .cin(gnd), + .combout(\inst|inst4|inst97|$00000|auto_generated|result_node[4]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst97|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hFD08; +defparam \inst|inst4|inst97|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y13_N24 +cycloneii_lcell_comb \inst|inst4|inst96|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst96|dffs[4]~feeder_combout = \inst|inst4|inst97|$00000|auto_generated|result_node[4]~0_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst97|$00000|auto_generated|result_node[4]~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst96|dffs[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst96|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst96|dffs[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X30_Y13_N25 +cycloneii_lcell_ff \inst|inst4|inst96|dffs[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst96|dffs[4]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst58~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst96|dffs [4])); + +// Location: LCCOMB_X29_Y13_N0 +cycloneii_lcell_comb \inst|inst4|inst98|$00000|auto_generated|result_node[4]~0 ( +// Equation(s): +// \inst|inst4|inst98|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst96|dffs [4])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst101|dffs [4]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst96|dffs [4])) + + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst96|dffs [4]), + .datac(\inst|inst4|inst101|dffs [4]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst98|$00000|auto_generated|result_node[4]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst98|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst98|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y13_N24 +cycloneii_lcell_comb \inst|inst4|inst99|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst99|dffs[4]~feeder_combout = \inst|inst4|inst98|$00000|auto_generated|result_node[4]~0_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst98|$00000|auto_generated|result_node[4]~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst99|dffs[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst99|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst99|dffs[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y13_N26 +cycloneii_lcell_comb \inst|inst4|inst124|inst57~0 ( +// Equation(s): +// \inst|inst4|inst124|inst57~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst124|inst84~0_combout & \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [49])))) + + .dataa(\inst|inst4|inst124|inst84~0_combout ), + .datab(\inst|inst4|inst107~0_combout ), + .datac(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [49]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst57~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst57~0 .lut_mask = 16'h00EC; +defparam \inst|inst4|inst124|inst57~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X29_Y13_N25 +cycloneii_lcell_ff \inst|inst4|inst99|dffs[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst99|dffs[4]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst57~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst99|dffs [4])); + +// Location: LCCOMB_X29_Y12_N24 +cycloneii_lcell_comb \inst|inst4|inst100|$00000|auto_generated|result_node[4]~0 ( +// Equation(s): +// \inst|inst4|inst100|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst99|dffs [4])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst103|dffs [4]))))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst99|dffs [4])))) + + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst99|dffs [4]), + .datad(\inst|inst4|inst103|dffs [4]), + .cin(gnd), + .combout(\inst|inst4|inst100|$00000|auto_generated|result_node[4]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst100|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hF2D0; +defparam \inst|inst4|inst100|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y14_N24 +cycloneii_lcell_comb \inst|inst4|inst101|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst101|dffs[4]~feeder_combout = \inst|inst4|inst100|$00000|auto_generated|result_node[4]~0_combout + + .dataa(vcc), + .datab(\inst|inst4|inst100|$00000|auto_generated|result_node[4]~0_combout ), + .datac(vcc), + .datad(vcc), + .cin(gnd), + .combout(\inst|inst4|inst101|dffs[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst101|dffs[4]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst101|dffs[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X20_Y13_N28 +cycloneii_lcell_comb \inst|inst4|inst124|inst56~0 ( +// Equation(s): +// \inst|inst4|inst124|inst56~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [50] & \inst|inst4|inst124|inst84~0_combout )))) + + .dataa(\inst|inst4|inst107~0_combout ), + .datab(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [50]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst124|inst84~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst124|inst56~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst56~0 .lut_mask = 16'h0E0A; +defparam \inst|inst4|inst124|inst56~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X29_Y14_N25 +cycloneii_lcell_ff \inst|inst4|inst101|dffs[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst101|dffs[4]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst56~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst101|dffs [4])); + +// Location: LCCOMB_X29_Y12_N28 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~18 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~18_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~17_combout & ((\inst|inst4|inst103|dffs [4]) # ((!\addr~combout [1])))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~17_combout & (((\inst|inst4|inst101|dffs [4] & \addr~combout [1])))) + + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~17_combout ), + .datab(\inst|inst4|inst103|dffs [4]), + .datac(\inst|inst4|inst101|dffs [4]), + .datad(\addr~combout [1]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~18_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~18 .lut_mask = 16'hD8AA; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~18 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X27_Y12_N20 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~152 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~152_combout = ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~18_combout & (!\addr~combout [3] & !\addr~combout [2]))) # (!\addr~combout [4]) + + .dataa(\addr~combout [4]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~18_combout ), + .datac(\addr~combout [3]), + .datad(\addr~combout [2]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~152_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~152 .lut_mask = 16'h555D; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~152 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y12_N16 +cycloneii_lcell_comb \inst|inst4|inst22|$00000|auto_generated|result_node[4]~0 ( +// Equation(s): +// \inst|inst4|inst22|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst21|dffs [4]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst25|dffs [4])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst21|dffs [4])))) + + .dataa(\inst|inst4|inst25|dffs [4]), + .datab(\inst|inst4|inst21|dffs [4]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst22|$00000|auto_generated|result_node[4]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst22|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hCCAC; +defparam \inst|inst4|inst22|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y12_N8 +cycloneii_lcell_comb \inst|inst4|inst23|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst23|dffs[4]~feeder_combout = \inst|inst4|inst22|$00000|auto_generated|result_node[4]~0_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst22|$00000|auto_generated|result_node[4]~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst23|dffs[4]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \rst~I .input_async_reset = "none"; -defparam \rst~I .input_power_up = "low"; -defparam \rst~I .input_register_mode = "none"; -defparam \rst~I .input_sync_reset = "none"; -defparam \rst~I .oe_async_reset = "none"; -defparam \rst~I .oe_power_up = "low"; -defparam \rst~I .oe_register_mode = "none"; -defparam \rst~I .oe_sync_reset = "none"; -defparam \rst~I .operation_mode = "input"; -defparam \rst~I .output_async_reset = "none"; -defparam \rst~I .output_power_up = "low"; -defparam \rst~I .output_register_mode = "none"; -defparam \rst~I .output_sync_reset = "none"; +defparam \inst|inst4|inst23|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst23|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y16_N15 -cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[1] ( +// Location: LCCOMB_X32_Y13_N16 +cycloneii_lcell_comb \inst|inst4|inst124|inst40 ( +// Equation(s): +// \inst|inst4|inst124|inst40~combout = (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [11] & (!\inst|inst4|inst122~0_combout & !\inst|inst1|auto_generated|aneb_result_wire [0])) + + .dataa(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [11]), + .datab(\inst|inst4|inst122~0_combout ), + .datac(vcc), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst40~combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst40 .lut_mask = 16'h0022; +defparam \inst|inst4|inst124|inst40 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X33_Y12_N9 +cycloneii_lcell_ff \inst|inst4|inst23|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst12|auto_generated|counter_comb_bita1~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), + .datain(\inst|inst4|inst23|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(!\rst~combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst40~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst12|auto_generated|safe_q [1])); + .regout(\inst|inst4|inst23|dffs [4])); -// Location: LCCOMB_X24_Y16_N18 -cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita3 ( +// Location: LCCOMB_X33_Y12_N30 +cycloneii_lcell_comb \inst|inst4|inst20|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst12|auto_generated|counter_comb_bita3~combout = (\inst|inst12|auto_generated|safe_q [3] & (!\inst|inst12|auto_generated|counter_comb_bita2~COUT )) # (!\inst|inst12|auto_generated|safe_q [3] & ((\inst|inst12|auto_generated|counter_comb_bita2~COUT -// ) # (GND))) -// \inst|inst12|auto_generated|counter_comb_bita3~COUT = CARRY((!\inst|inst12|auto_generated|counter_comb_bita2~COUT ) # (!\inst|inst12|auto_generated|safe_q [3])) +// \inst|inst4|inst20|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst19|dffs [4])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst23|dffs [4]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst19|dffs [4])) + + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst19|dffs [4]), + .datac(\inst|inst4|inst23|dffs [4]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst20|$00000|auto_generated|result_node[4]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst20|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst20|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y12_N0 +cycloneii_lcell_comb \inst|inst4|inst21|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst21|dffs[4]~feeder_combout = \inst|inst4|inst20|$00000|auto_generated|result_node[4]~0_combout .dataa(vcc), - .datab(\inst|inst12|auto_generated|safe_q [3]), + .datab(vcc), .datac(vcc), - .datad(vcc), - .cin(\inst|inst12|auto_generated|counter_comb_bita2~COUT ), - .combout(\inst|inst12|auto_generated|counter_comb_bita3~combout ), - .cout(\inst|inst12|auto_generated|counter_comb_bita3~COUT )); + .datad(\inst|inst4|inst20|$00000|auto_generated|result_node[4]~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst21|dffs[4]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst12|auto_generated|counter_comb_bita3 .lut_mask = 16'h3C3F; -defparam \inst|inst12|auto_generated|counter_comb_bita3 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst21|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst21|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y16_N19 -cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[3] ( +// Location: LCCOMB_X32_Y13_N10 +cycloneii_lcell_comb \inst|inst4|inst124|inst41 ( +// Equation(s): +// \inst|inst4|inst124|inst41~combout = (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [10] & (!\inst|inst4|inst122~0_combout & !\inst|inst1|auto_generated|aneb_result_wire [0])) + + .dataa(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [10]), + .datab(\inst|inst4|inst122~0_combout ), + .datac(vcc), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst41~combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst41 .lut_mask = 16'h0022; +defparam \inst|inst4|inst124|inst41 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X32_Y12_N1 +cycloneii_lcell_ff \inst|inst4|inst21|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst12|auto_generated|counter_comb_bita3~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), + .datain(\inst|inst4|inst21|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(!\rst~combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst41~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst12|auto_generated|safe_q [3])); + .regout(\inst|inst4|inst21|dffs [4])); -// Location: LCCOMB_X24_Y16_N20 -cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita4 ( +// Location: LCCOMB_X32_Y12_N2 +cycloneii_lcell_comb \inst|inst4|inst18|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst12|auto_generated|counter_comb_bita4~combout = (\inst|inst12|auto_generated|safe_q [4] & (\inst|inst12|auto_generated|counter_comb_bita3~COUT $ (GND))) # (!\inst|inst12|auto_generated|safe_q [4] & -// (!\inst|inst12|auto_generated|counter_comb_bita3~COUT & VCC)) -// \inst|inst12|auto_generated|counter_comb_bita4~COUT = CARRY((\inst|inst12|auto_generated|safe_q [4] & !\inst|inst12|auto_generated|counter_comb_bita3~COUT )) +// \inst|inst4|inst18|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst17|dffs [4])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst21|dffs [4])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst17|dffs [4]))))) - .dataa(\inst|inst12|auto_generated|safe_q [4]), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst21|dffs [4]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst17|dffs [4]), + .cin(gnd), + .combout(\inst|inst4|inst18|$00000|auto_generated|result_node[4]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst18|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hEF40; +defparam \inst|inst4|inst18|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y12_N2 +cycloneii_lcell_comb \inst|inst4|inst19|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst19|dffs[4]~feeder_combout = \inst|inst4|inst18|$00000|auto_generated|result_node[4]~0_combout + + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(vcc), - .cin(\inst|inst12|auto_generated|counter_comb_bita3~COUT ), - .combout(\inst|inst12|auto_generated|counter_comb_bita4~combout ), - .cout(\inst|inst12|auto_generated|counter_comb_bita4~COUT )); + .datad(\inst|inst4|inst18|$00000|auto_generated|result_node[4]~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst19|dffs[4]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst12|auto_generated|counter_comb_bita4 .lut_mask = 16'hA50A; -defparam \inst|inst12|auto_generated|counter_comb_bita4 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst19|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst19|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y16_N21 -cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[4] ( +// Location: LCCOMB_X33_Y12_N4 +cycloneii_lcell_comb \inst|inst4|inst124|inst42 ( +// Equation(s): +// \inst|inst4|inst124|inst42~combout = (!\inst|inst4|inst122~0_combout & (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [9] & !\inst|inst1|auto_generated|aneb_result_wire [0])) + + .dataa(\inst|inst4|inst122~0_combout ), + .datab(vcc), + .datac(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [9]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst42~combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst42 .lut_mask = 16'h0050; +defparam \inst|inst4|inst124|inst42 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X33_Y12_N3 +cycloneii_lcell_ff \inst|inst4|inst19|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst12|auto_generated|counter_comb_bita4~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), + .datain(\inst|inst4|inst19|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(!\rst~combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst42~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst12|auto_generated|safe_q [4])); + .regout(\inst|inst4|inst19|dffs [4])); -// Location: LCCOMB_X24_Y16_N2 -cycloneii_lcell_comb \inst|inst11|auto_generated|aneb_result_wire[0]~5 ( +// Location: LCCOMB_X33_Y12_N24 +cycloneii_lcell_comb \inst|inst4|inst16|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst11|auto_generated|aneb_result_wire[0]~5_combout = (\inst|inst12|auto_generated|safe_q [2]) # ((\inst|inst12|auto_generated|safe_q [1]) # ((\inst|inst12|auto_generated|safe_q [4]) # (\inst|inst12|auto_generated|safe_q [3]))) +// \inst|inst4|inst16|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst14|dffs [4])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst19|dffs [4])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst14|dffs [4]))))) - .dataa(\inst|inst12|auto_generated|safe_q [2]), - .datab(\inst|inst12|auto_generated|safe_q [1]), - .datac(\inst|inst12|auto_generated|safe_q [4]), - .datad(\inst|inst12|auto_generated|safe_q [3]), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst19|dffs [4]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst14|dffs [4]), .cin(gnd), - .combout(\inst|inst11|auto_generated|aneb_result_wire[0]~5_combout ), + .combout(\inst|inst4|inst16|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst11|auto_generated|aneb_result_wire[0]~5 .lut_mask = 16'hFFFE; -defparam \inst|inst11|auto_generated|aneb_result_wire[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst16|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hEF40; +defparam \inst|inst4|inst16|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y16_N22 -cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita5 ( +// Location: LCCOMB_X33_Y13_N8 +cycloneii_lcell_comb \inst|inst4|inst17|dffs[4]~feeder ( // Equation(s): -// \inst|inst12|auto_generated|counter_comb_bita5~combout = (\inst|inst12|auto_generated|safe_q [5] & (!\inst|inst12|auto_generated|counter_comb_bita4~COUT )) # (!\inst|inst12|auto_generated|safe_q [5] & ((\inst|inst12|auto_generated|counter_comb_bita4~COUT -// ) # (GND))) -// \inst|inst12|auto_generated|counter_comb_bita5~COUT = CARRY((!\inst|inst12|auto_generated|counter_comb_bita4~COUT ) # (!\inst|inst12|auto_generated|safe_q [5])) +// \inst|inst4|inst17|dffs[4]~feeder_combout = \inst|inst4|inst16|$00000|auto_generated|result_node[4]~0_combout .dataa(vcc), - .datab(\inst|inst12|auto_generated|safe_q [5]), + .datab(\inst|inst4|inst16|$00000|auto_generated|result_node[4]~0_combout ), .datac(vcc), .datad(vcc), - .cin(\inst|inst12|auto_generated|counter_comb_bita4~COUT ), - .combout(\inst|inst12|auto_generated|counter_comb_bita5~combout ), - .cout(\inst|inst12|auto_generated|counter_comb_bita5~COUT )); + .cin(gnd), + .combout(\inst|inst4|inst17|dffs[4]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst12|auto_generated|counter_comb_bita5 .lut_mask = 16'h3C3F; -defparam \inst|inst12|auto_generated|counter_comb_bita5 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst17|dffs[4]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst17|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y16_N23 -cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[5] ( +// Location: LCCOMB_X33_Y13_N20 +cycloneii_lcell_comb \inst|inst4|inst124|inst43 ( +// Equation(s): +// \inst|inst4|inst124|inst43~combout = (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [8] & (!\inst|inst4|inst122~0_combout & !\inst|inst1|auto_generated|aneb_result_wire [0])) + + .dataa(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [8]), + .datab(\inst|inst4|inst122~0_combout ), + .datac(vcc), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst43~combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst43 .lut_mask = 16'h0022; +defparam \inst|inst4|inst124|inst43 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X33_Y13_N9 +cycloneii_lcell_ff \inst|inst4|inst17|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst12|auto_generated|counter_comb_bita5~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), + .datain(\inst|inst4|inst17|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(!\rst~combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst43~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst12|auto_generated|safe_q [5])); + .regout(\inst|inst4|inst17|dffs [4])); -// Location: LCCOMB_X24_Y16_N24 -cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita6 ( +// Location: LCCOMB_X32_Y12_N18 +cycloneii_lcell_comb \inst|inst4|inst15|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst12|auto_generated|counter_comb_bita6~combout = (\inst|inst12|auto_generated|safe_q [6] & (\inst|inst12|auto_generated|counter_comb_bita5~COUT $ (GND))) # (!\inst|inst12|auto_generated|safe_q [6] & -// (!\inst|inst12|auto_generated|counter_comb_bita5~COUT & VCC)) -// \inst|inst12|auto_generated|counter_comb_bita6~COUT = CARRY((\inst|inst12|auto_generated|safe_q [6] & !\inst|inst12|auto_generated|counter_comb_bita5~COUT )) +// \inst|inst4|inst15|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst13|dffs [4])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst17|dffs [4])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst13|dffs [4]))))) - .dataa(\inst|inst12|auto_generated|safe_q [6]), - .datab(vcc), - .datac(vcc), - .datad(vcc), - .cin(\inst|inst12|auto_generated|counter_comb_bita5~COUT ), - .combout(\inst|inst12|auto_generated|counter_comb_bita6~combout ), - .cout(\inst|inst12|auto_generated|counter_comb_bita6~COUT )); + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst17|dffs [4]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst13|dffs [4]), + .cin(gnd), + .combout(\inst|inst4|inst15|$00000|auto_generated|result_node[4]~0_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst12|auto_generated|counter_comb_bita6 .lut_mask = 16'hA50A; -defparam \inst|inst12|auto_generated|counter_comb_bita6 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst15|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hEF40; +defparam \inst|inst4|inst15|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y16_N26 -cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita7 ( +// Location: LCCOMB_X35_Y12_N24 +cycloneii_lcell_comb \inst|inst4|inst14|dffs[4]~feeder ( // Equation(s): -// \inst|inst12|auto_generated|counter_comb_bita7~combout = (\inst|inst12|auto_generated|safe_q [7] & (!\inst|inst12|auto_generated|counter_comb_bita6~COUT )) # (!\inst|inst12|auto_generated|safe_q [7] & ((\inst|inst12|auto_generated|counter_comb_bita6~COUT -// ) # (GND))) -// \inst|inst12|auto_generated|counter_comb_bita7~COUT = CARRY((!\inst|inst12|auto_generated|counter_comb_bita6~COUT ) # (!\inst|inst12|auto_generated|safe_q [7])) +// \inst|inst4|inst14|dffs[4]~feeder_combout = \inst|inst4|inst15|$00000|auto_generated|result_node[4]~0_combout .dataa(vcc), - .datab(\inst|inst12|auto_generated|safe_q [7]), + .datab(vcc), .datac(vcc), - .datad(vcc), - .cin(\inst|inst12|auto_generated|counter_comb_bita6~COUT ), - .combout(\inst|inst12|auto_generated|counter_comb_bita7~combout ), - .cout(\inst|inst12|auto_generated|counter_comb_bita7~COUT )); + .datad(\inst|inst4|inst15|$00000|auto_generated|result_node[4]~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst14|dffs[4]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst12|auto_generated|counter_comb_bita7 .lut_mask = 16'h3C3F; -defparam \inst|inst12|auto_generated|counter_comb_bita7 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst14|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst14|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y16_N27 -cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[7] ( +// Location: LCCOMB_X34_Y13_N2 +cycloneii_lcell_comb \inst|inst4|inst124|inst44 ( +// Equation(s): +// \inst|inst4|inst124|inst44~combout = (!\inst|inst4|inst122~0_combout & (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [7] & !\inst|inst1|auto_generated|aneb_result_wire [0])) + + .dataa(\inst|inst4|inst122~0_combout ), + .datab(vcc), + .datac(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [7]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst44~combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst44 .lut_mask = 16'h0050; +defparam \inst|inst4|inst124|inst44 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X35_Y12_N25 +cycloneii_lcell_ff \inst|inst4|inst14|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst12|auto_generated|counter_comb_bita7~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), + .datain(\inst|inst4|inst14|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(!\rst~combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst44~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst12|auto_generated|safe_q [7])); + .regout(\inst|inst4|inst14|dffs [4])); -// Location: LCCOMB_X24_Y16_N28 -cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita8 ( +// Location: LCCOMB_X34_Y14_N4 +cycloneii_lcell_comb \inst|inst4|inst12|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst12|auto_generated|counter_comb_bita8~combout = (\inst|inst12|auto_generated|safe_q [8] & (\inst|inst12|auto_generated|counter_comb_bita7~COUT $ (GND))) # (!\inst|inst12|auto_generated|safe_q [8] & -// (!\inst|inst12|auto_generated|counter_comb_bita7~COUT & VCC)) -// \inst|inst12|auto_generated|counter_comb_bita8~COUT = CARRY((\inst|inst12|auto_generated|safe_q [8] & !\inst|inst12|auto_generated|counter_comb_bita7~COUT )) +// \inst|inst4|inst12|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst11|dffs [4]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst14|dffs [4])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst11|dffs [4])))) + + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst14|dffs [4]), + .datad(\inst|inst4|inst11|dffs [4]), + .cin(gnd), + .combout(\inst|inst4|inst12|$00000|auto_generated|result_node[4]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst12|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hFD20; +defparam \inst|inst4|inst12|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X34_Y14_N0 +cycloneii_lcell_comb \inst|inst4|inst13|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst13|dffs[4]~feeder_combout = \inst|inst4|inst12|$00000|auto_generated|result_node[4]~0_combout .dataa(vcc), - .datab(\inst|inst12|auto_generated|safe_q [8]), + .datab(\inst|inst4|inst12|$00000|auto_generated|result_node[4]~0_combout ), .datac(vcc), .datad(vcc), - .cin(\inst|inst12|auto_generated|counter_comb_bita7~COUT ), - .combout(\inst|inst12|auto_generated|counter_comb_bita8~combout ), - .cout(\inst|inst12|auto_generated|counter_comb_bita8~COUT )); + .cin(gnd), + .combout(\inst|inst4|inst13|dffs[4]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst12|auto_generated|counter_comb_bita8 .lut_mask = 16'hC30C; -defparam \inst|inst12|auto_generated|counter_comb_bita8 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst13|dffs[4]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst13|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y16_N29 -cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[8] ( +// Location: LCCOMB_X34_Y13_N0 +cycloneii_lcell_comb \inst|inst4|inst124|inst45 ( +// Equation(s): +// \inst|inst4|inst124|inst45~combout = (!\inst|inst4|inst122~0_combout & (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [6] & !\inst|inst1|auto_generated|aneb_result_wire [0])) + + .dataa(\inst|inst4|inst122~0_combout ), + .datab(vcc), + .datac(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [6]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst45~combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst45 .lut_mask = 16'h0050; +defparam \inst|inst4|inst124|inst45 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X34_Y14_N1 +cycloneii_lcell_ff \inst|inst4|inst13|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst12|auto_generated|counter_comb_bita8~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), + .datain(\inst|inst4|inst13|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(!\rst~combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst45~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst12|auto_generated|safe_q [8])); + .regout(\inst|inst4|inst13|dffs [4])); -// Location: LCCOMB_X24_Y16_N30 -cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita9 ( +// Location: LCCOMB_X34_Y14_N30 +cycloneii_lcell_comb \inst|inst4|inst10|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst12|auto_generated|counter_comb_bita9~combout = (\inst|inst12|auto_generated|safe_q [9] & (!\inst|inst12|auto_generated|counter_comb_bita8~COUT )) # (!\inst|inst12|auto_generated|safe_q [9] & ((\inst|inst12|auto_generated|counter_comb_bita8~COUT -// ) # (GND))) -// \inst|inst12|auto_generated|counter_comb_bita9~COUT = CARRY((!\inst|inst12|auto_generated|counter_comb_bita8~COUT ) # (!\inst|inst12|auto_generated|safe_q [9])) +// \inst|inst4|inst10|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst9|dffs [4]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst13|dffs [4])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst9|dffs [4])))) + + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst13|dffs [4]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst9|dffs [4]), + .cin(gnd), + .combout(\inst|inst4|inst10|$00000|auto_generated|result_node[4]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst10|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hFD08; +defparam \inst|inst4|inst10|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X34_Y14_N18 +cycloneii_lcell_comb \inst|inst4|inst11|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst11|dffs[4]~feeder_combout = \inst|inst4|inst10|$00000|auto_generated|result_node[4]~0_combout .dataa(vcc), - .datab(\inst|inst12|auto_generated|safe_q [9]), + .datab(vcc), .datac(vcc), - .datad(vcc), - .cin(\inst|inst12|auto_generated|counter_comb_bita8~COUT ), - .combout(\inst|inst12|auto_generated|counter_comb_bita9~combout ), - .cout(\inst|inst12|auto_generated|counter_comb_bita9~COUT )); + .datad(\inst|inst4|inst10|$00000|auto_generated|result_node[4]~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst11|dffs[4]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst12|auto_generated|counter_comb_bita9 .lut_mask = 16'h3C3F; -defparam \inst|inst12|auto_generated|counter_comb_bita9 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst11|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst11|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y16_N31 -cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[9] ( +// Location: LCCOMB_X33_Y13_N0 +cycloneii_lcell_comb \inst|inst4|inst124|inst46 ( +// Equation(s): +// \inst|inst4|inst124|inst46~combout = (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [5] & (!\inst|inst4|inst122~0_combout & !\inst|inst1|auto_generated|aneb_result_wire [0])) + + .dataa(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [5]), + .datab(\inst|inst4|inst122~0_combout ), + .datac(vcc), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst46~combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst46 .lut_mask = 16'h0022; +defparam \inst|inst4|inst124|inst46 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X34_Y14_N19 +cycloneii_lcell_ff \inst|inst4|inst11|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst12|auto_generated|counter_comb_bita9~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), + .datain(\inst|inst4|inst11|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(!\rst~combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst46~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst12|auto_generated|safe_q [9])); + .regout(\inst|inst4|inst11|dffs [4])); -// Location: LCCOMB_X24_Y15_N0 -cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita10 ( +// Location: LCCOMB_X35_Y13_N18 +cycloneii_lcell_comb \inst|inst4|inst7|dffs[4]~feeder ( // Equation(s): -// \inst|inst12|auto_generated|counter_comb_bita10~combout = (\inst|inst12|auto_generated|safe_q [10] & (\inst|inst12|auto_generated|counter_comb_bita9~COUT $ (GND))) # (!\inst|inst12|auto_generated|safe_q [10] & -// (!\inst|inst12|auto_generated|counter_comb_bita9~COUT & VCC)) -// \inst|inst12|auto_generated|counter_comb_bita10~COUT = CARRY((\inst|inst12|auto_generated|safe_q [10] & !\inst|inst12|auto_generated|counter_comb_bita9~COUT )) +// \inst|inst4|inst7|dffs[4]~feeder_combout = \inst|inst4|inst6|$00000|auto_generated|result_node[4]~0_combout - .dataa(vcc), - .datab(\inst|inst12|auto_generated|safe_q [10]), + .dataa(\inst|inst4|inst6|$00000|auto_generated|result_node[4]~0_combout ), + .datab(vcc), .datac(vcc), .datad(vcc), - .cin(\inst|inst12|auto_generated|counter_comb_bita9~COUT ), - .combout(\inst|inst12|auto_generated|counter_comb_bita10~combout ), - .cout(\inst|inst12|auto_generated|counter_comb_bita10~COUT )); + .cin(gnd), + .combout(\inst|inst4|inst7|dffs[4]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst12|auto_generated|counter_comb_bita10 .lut_mask = 16'hC30C; -defparam \inst|inst12|auto_generated|counter_comb_bita10 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst7|dffs[4]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst7|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y15_N1 -cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[10] ( +// Location: LCCOMB_X35_Y13_N10 +cycloneii_lcell_comb \inst|inst4|inst124|inst48 ( +// Equation(s): +// \inst|inst4|inst124|inst48~combout = (!\inst|inst4|inst122~0_combout & (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [3] & !\inst|inst1|auto_generated|aneb_result_wire [0])) + + .dataa(\inst|inst4|inst122~0_combout ), + .datab(vcc), + .datac(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [3]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst48~combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst48 .lut_mask = 16'h0050; +defparam \inst|inst4|inst124|inst48 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X35_Y13_N19 +cycloneii_lcell_ff \inst|inst4|inst7|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst12|auto_generated|counter_comb_bita10~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), + .datain(\inst|inst4|inst7|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(!\rst~combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst48~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst12|auto_generated|safe_q [10])); + .regout(\inst|inst4|inst7|dffs [4])); -// Location: LCCOMB_X24_Y15_N2 -cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita11 ( +// Location: LCCOMB_X35_Y13_N8 +cycloneii_lcell_comb \inst|inst4|inst8|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst12|auto_generated|counter_comb_bita11~combout = (\inst|inst12|auto_generated|safe_q [11] & (!\inst|inst12|auto_generated|counter_comb_bita10~COUT )) # (!\inst|inst12|auto_generated|safe_q [11] & -// ((\inst|inst12|auto_generated|counter_comb_bita10~COUT ) # (GND))) -// \inst|inst12|auto_generated|counter_comb_bita11~COUT = CARRY((!\inst|inst12|auto_generated|counter_comb_bita10~COUT ) # (!\inst|inst12|auto_generated|safe_q [11])) +// \inst|inst4|inst8|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst7|dffs [4])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst11|dffs [4])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst7|dffs [4]))))) + + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst11|dffs [4]), + .datad(\inst|inst4|inst7|dffs [4]), + .cin(gnd), + .combout(\inst|inst4|inst8|$00000|auto_generated|result_node[4]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst8|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hFB40; +defparam \inst|inst4|inst8|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X35_Y13_N0 +cycloneii_lcell_comb \inst|inst4|inst9|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst9|dffs[4]~feeder_combout = \inst|inst4|inst8|$00000|auto_generated|result_node[4]~0_combout .dataa(vcc), - .datab(\inst|inst12|auto_generated|safe_q [11]), + .datab(\inst|inst4|inst8|$00000|auto_generated|result_node[4]~0_combout ), .datac(vcc), .datad(vcc), - .cin(\inst|inst12|auto_generated|counter_comb_bita10~COUT ), - .combout(\inst|inst12|auto_generated|counter_comb_bita11~combout ), - .cout(\inst|inst12|auto_generated|counter_comb_bita11~COUT )); + .cin(gnd), + .combout(\inst|inst4|inst9|dffs[4]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst12|auto_generated|counter_comb_bita11 .lut_mask = 16'h3C3F; -defparam \inst|inst12|auto_generated|counter_comb_bita11 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst9|dffs[4]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst9|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y15_N3 -cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[11] ( +// Location: LCCOMB_X35_Y13_N26 +cycloneii_lcell_comb \inst|inst4|inst124|inst47 ( +// Equation(s): +// \inst|inst4|inst124|inst47~combout = (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [4] & (!\inst|inst4|inst122~0_combout & !\inst|inst1|auto_generated|aneb_result_wire [0])) + + .dataa(vcc), + .datab(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [4]), + .datac(\inst|inst4|inst122~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst47~combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst47 .lut_mask = 16'h000C; +defparam \inst|inst4|inst124|inst47 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X35_Y13_N1 +cycloneii_lcell_ff \inst|inst4|inst9|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst12|auto_generated|counter_comb_bita11~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), + .datain(\inst|inst4|inst9|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(!\rst~combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst47~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst12|auto_generated|safe_q [11])); + .regout(\inst|inst4|inst9|dffs [4])); -// Location: LCCOMB_X24_Y15_N4 -cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita12 ( +// Location: LCCOMB_X34_Y14_N8 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~12 ( // Equation(s): -// \inst|inst12|auto_generated|counter_comb_bita12~combout = (\inst|inst12|auto_generated|safe_q [12] & (\inst|inst12|auto_generated|counter_comb_bita11~COUT $ (GND))) # (!\inst|inst12|auto_generated|safe_q [12] & -// (!\inst|inst12|auto_generated|counter_comb_bita11~COUT & VCC)) -// \inst|inst12|auto_generated|counter_comb_bita12~COUT = CARRY((\inst|inst12|auto_generated|safe_q [12] & !\inst|inst12|auto_generated|counter_comb_bita11~COUT )) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~12_combout = (\addr~combout [1] & (((\addr~combout [0])))) # (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst11|dffs [4]))) # (!\addr~combout [0] & (\inst|inst4|inst9|dffs [4])))) - .dataa(vcc), - .datab(\inst|inst12|auto_generated|safe_q [12]), + .dataa(\addr~combout [1]), + .datab(\inst|inst4|inst9|dffs [4]), + .datac(\addr~combout [0]), + .datad(\inst|inst4|inst11|dffs [4]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~12_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~12 .lut_mask = 16'hF4A4; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X34_Y14_N2 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~13 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~13_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~12_combout & (\inst|inst4|inst14|dffs [4])) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~12_combout & ((\inst|inst4|inst13|dffs [4]))))) # (!\addr~combout [1] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~12_combout )) + + .dataa(\addr~combout [1]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~12_combout ), + .datac(\inst|inst4|inst14|dffs [4]), + .datad(\inst|inst4|inst13|dffs [4]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~13_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~13 .lut_mask = 16'hE6C4; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~13 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X36_Y13_N16 +cycloneii_lcell_comb \inst|inst4|inst2|dffs[4]~feeder ( +// Equation(s): +// \inst|inst4|inst2|dffs[4]~feeder_combout = \inst|inst4|inst3|$00000|auto_generated|result_node[4]~0_combout + + .dataa(\inst|inst4|inst3|$00000|auto_generated|result_node[4]~0_combout ), + .datab(vcc), .datac(vcc), .datad(vcc), - .cin(\inst|inst12|auto_generated|counter_comb_bita11~COUT ), - .combout(\inst|inst12|auto_generated|counter_comb_bita12~combout ), - .cout(\inst|inst12|auto_generated|counter_comb_bita12~COUT )); + .cin(gnd), + .combout(\inst|inst4|inst2|dffs[4]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst12|auto_generated|counter_comb_bita12 .lut_mask = 16'hC30C; -defparam \inst|inst12|auto_generated|counter_comb_bita12 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst2|dffs[4]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst2|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y15_N5 -cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[12] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst12|auto_generated|counter_comb_bita12~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), - .sclr(gnd), - .sload(gnd), - .ena(!\rst~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst12|auto_generated|safe_q [12])); - -// Location: LCCOMB_X24_Y15_N26 -cycloneii_lcell_comb \inst|inst11|auto_generated|aneb_result_wire[0]~2 ( +// Location: LCCOMB_X33_Y13_N10 +cycloneii_lcell_comb \inst|inst4|inst124|inst50 ( // Equation(s): -// \inst|inst11|auto_generated|aneb_result_wire[0]~2_combout = (\inst|inst12|auto_generated|safe_q [9]) # (((\inst|inst12|auto_generated|safe_q [12]) # (!\inst|inst12|auto_generated|safe_q [10])) # (!\inst|inst12|auto_generated|safe_q [11])) +// \inst|inst4|inst124|inst50~combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & (!\inst|inst4|inst122~0_combout & \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [1])) - .dataa(\inst|inst12|auto_generated|safe_q [9]), - .datab(\inst|inst12|auto_generated|safe_q [11]), - .datac(\inst|inst12|auto_generated|safe_q [12]), - .datad(\inst|inst12|auto_generated|safe_q [10]), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst122~0_combout ), + .datac(vcc), + .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [1]), .cin(gnd), - .combout(\inst|inst11|auto_generated|aneb_result_wire[0]~2_combout ), + .combout(\inst|inst4|inst124|inst50~combout ), .cout()); // synopsys translate_off -defparam \inst|inst11|auto_generated|aneb_result_wire[0]~2 .lut_mask = 16'hFBFF; -defparam \inst|inst11|auto_generated|aneb_result_wire[0]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst124|inst50 .lut_mask = 16'h1100; +defparam \inst|inst4|inst124|inst50 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y16_N25 -cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[6] ( +// Location: LCFF_X36_Y13_N17 +cycloneii_lcell_ff \inst|inst4|inst2|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst12|auto_generated|counter_comb_bita6~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), + .datain(\inst|inst4|inst2|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(!\rst~combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst50~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst12|auto_generated|safe_q [6])); + .regout(\inst|inst4|inst2|dffs [4])); -// Location: LCCOMB_X24_Y16_N8 -cycloneii_lcell_comb \inst|inst11|auto_generated|aneb_result_wire[0]~3 ( +// Location: LCCOMB_X30_Y14_N8 +cycloneii_lcell_comb \inst|inst4|inst1|$00000|auto_generated|result_node[4]~0 ( // Equation(s): -// \inst|inst11|auto_generated|aneb_result_wire[0]~3_combout = ((\inst|inst12|auto_generated|safe_q [5]) # ((\inst|inst12|auto_generated|safe_q [6]) # (\inst|inst12|auto_generated|safe_q [7]))) # (!\inst|inst12|auto_generated|safe_q [8]) +// \inst|inst4|inst1|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout & \inst|inst4|inst2|dffs [4]))) - .dataa(\inst|inst12|auto_generated|safe_q [8]), - .datab(\inst|inst12|auto_generated|safe_q [5]), - .datac(\inst|inst12|auto_generated|safe_q [6]), - .datad(\inst|inst12|auto_generated|safe_q [7]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), + .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout ), + .datad(\inst|inst4|inst2|dffs [4]), .cin(gnd), - .combout(\inst|inst11|auto_generated|aneb_result_wire[0]~3_combout ), + .combout(\inst|inst4|inst1|$00000|auto_generated|result_node[4]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst11|auto_generated|aneb_result_wire[0]~3 .lut_mask = 16'hFFFD; -defparam \inst|inst11|auto_generated|aneb_result_wire[0]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst1|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'h0200; +defparam \inst|inst4|inst1|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y15_N8 -cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita14 ( +// Location: LCCOMB_X30_Y14_N16 +cycloneii_lcell_comb \inst|inst4|inst|dffs[4]~feeder ( // Equation(s): -// \inst|inst12|auto_generated|counter_comb_bita14~combout = (\inst|inst12|auto_generated|safe_q [14] & (\inst|inst12|auto_generated|counter_comb_bita13~COUT $ (GND))) # (!\inst|inst12|auto_generated|safe_q [14] & -// (!\inst|inst12|auto_generated|counter_comb_bita13~COUT & VCC)) -// \inst|inst12|auto_generated|counter_comb_bita14~COUT = CARRY((\inst|inst12|auto_generated|safe_q [14] & !\inst|inst12|auto_generated|counter_comb_bita13~COUT )) +// \inst|inst4|inst|dffs[4]~feeder_combout = \inst|inst4|inst1|$00000|auto_generated|result_node[4]~0_combout .dataa(vcc), - .datab(\inst|inst12|auto_generated|safe_q [14]), + .datab(\inst|inst4|inst1|$00000|auto_generated|result_node[4]~0_combout ), .datac(vcc), .datad(vcc), - .cin(\inst|inst12|auto_generated|counter_comb_bita13~COUT ), - .combout(\inst|inst12|auto_generated|counter_comb_bita14~combout ), - .cout(\inst|inst12|auto_generated|counter_comb_bita14~COUT )); + .cin(gnd), + .combout(\inst|inst4|inst|dffs[4]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst12|auto_generated|counter_comb_bita14 .lut_mask = 16'hC30C; -defparam \inst|inst12|auto_generated|counter_comb_bita14 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst|dffs[4]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y15_N9 -cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[14] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst12|auto_generated|counter_comb_bita14~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), - .sclr(gnd), - .sload(gnd), - .ena(!\rst~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst12|auto_generated|safe_q [14])); - -// Location: LCCOMB_X24_Y15_N14 -cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita17 ( +// Location: LCCOMB_X35_Y13_N14 +cycloneii_lcell_comb \inst|inst4|inst124|inst51 ( // Equation(s): -// \inst|inst12|auto_generated|counter_comb_bita17~combout = (\inst|inst12|auto_generated|safe_q [17] & (!\inst|inst12|auto_generated|counter_comb_bita16~COUT )) # (!\inst|inst12|auto_generated|safe_q [17] & -// ((\inst|inst12|auto_generated|counter_comb_bita16~COUT ) # (GND))) -// \inst|inst12|auto_generated|counter_comb_bita17~COUT = CARRY((!\inst|inst12|auto_generated|counter_comb_bita16~COUT ) # (!\inst|inst12|auto_generated|safe_q [17])) +// \inst|inst4|inst124|inst51~combout = (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [0] & (!\inst|inst4|inst122~0_combout & !\inst|inst1|auto_generated|aneb_result_wire [0])) .dataa(vcc), - .datab(\inst|inst12|auto_generated|safe_q [17]), - .datac(vcc), - .datad(vcc), - .cin(\inst|inst12|auto_generated|counter_comb_bita16~COUT ), - .combout(\inst|inst12|auto_generated|counter_comb_bita17~combout ), - .cout(\inst|inst12|auto_generated|counter_comb_bita17~COUT )); + .datab(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [0]), + .datac(\inst|inst4|inst122~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst124|inst51~combout ), + .cout()); // synopsys translate_off -defparam \inst|inst12|auto_generated|counter_comb_bita17 .lut_mask = 16'h3C3F; -defparam \inst|inst12|auto_generated|counter_comb_bita17 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst124|inst51 .lut_mask = 16'h000C; +defparam \inst|inst4|inst124|inst51 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y15_N15 -cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[17] ( +// Location: LCFF_X30_Y14_N17 +cycloneii_lcell_ff \inst|inst4|inst|dffs[4] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst12|auto_generated|counter_comb_bita17~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), + .datain(\inst|inst4|inst|dffs[4]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(!\rst~combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst51~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst12|auto_generated|safe_q [17])); + .regout(\inst|inst4|inst|dffs [4])); -// Location: LCCOMB_X24_Y15_N16 -cycloneii_lcell_comb \inst|inst12|auto_generated|counter_comb_bita18 ( +// Location: LCCOMB_X35_Y14_N14 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~14 ( // Equation(s): -// \inst|inst12|auto_generated|counter_comb_bita18~combout = (\inst|inst12|auto_generated|safe_q [18] & (\inst|inst12|auto_generated|counter_comb_bita17~COUT $ (GND))) # (!\inst|inst12|auto_generated|safe_q [18] & -// (!\inst|inst12|auto_generated|counter_comb_bita17~COUT & VCC)) -// \inst|inst12|auto_generated|counter_comb_bita18~COUT = CARRY((\inst|inst12|auto_generated|safe_q [18] & !\inst|inst12|auto_generated|counter_comb_bita17~COUT )) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~14_combout = (\addr~combout [0] & ((\inst|inst4|inst2|dffs [4]) # ((\addr~combout [1])))) # (!\addr~combout [0] & (((\inst|inst4|inst|dffs [4] & !\addr~combout [1])))) - .dataa(\inst|inst12|auto_generated|safe_q [18]), - .datab(vcc), - .datac(vcc), - .datad(vcc), - .cin(\inst|inst12|auto_generated|counter_comb_bita17~COUT ), - .combout(\inst|inst12|auto_generated|counter_comb_bita18~combout ), - .cout(\inst|inst12|auto_generated|counter_comb_bita18~COUT )); + .dataa(\inst|inst4|inst2|dffs [4]), + .datab(\addr~combout [0]), + .datac(\inst|inst4|inst|dffs [4]), + .datad(\addr~combout [1]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~14_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst12|auto_generated|counter_comb_bita18 .lut_mask = 16'hA50A; -defparam \inst|inst12|auto_generated|counter_comb_bita18 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~14 .lut_mask = 16'hCCB8; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~14 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y15_N17 -cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[18] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst12|auto_generated|counter_comb_bita18~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), - .sclr(gnd), - .sload(gnd), - .ena(!\rst~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst12|auto_generated|safe_q [18])); +// Location: LCCOMB_X35_Y14_N8 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~15 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~15_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~14_combout & (((\inst|inst4|inst7|dffs [4]) # (!\addr~combout [1])))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~14_combout & (\inst|inst4|inst5|dffs [4] & ((\addr~combout [1])))) -// Location: LCFF_X24_Y15_N19 -cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[19] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst12|auto_generated|counter_comb_bita19~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), - .sclr(gnd), - .sload(gnd), - .ena(!\rst~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst12|auto_generated|safe_q [19])); + .dataa(\inst|inst4|inst5|dffs [4]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~14_combout ), + .datac(\inst|inst4|inst7|dffs [4]), + .datad(\addr~combout [1]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~15_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~15 .lut_mask = 16'hE2CC; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~15 .sum_lutc_input = "datac"; +// synopsys translate_on -// Location: LCCOMB_X24_Y15_N30 -cycloneii_lcell_comb \inst|inst11|auto_generated|aneb_result_wire[0]~0 ( +// Location: LCCOMB_X27_Y12_N2 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~1 ( // Equation(s): -// \inst|inst11|auto_generated|aneb_result_wire[0]~0_combout = ((\inst|inst12|auto_generated|safe_q [17]) # ((\inst|inst12|auto_generated|safe_q [18]) # (\inst|inst12|auto_generated|safe_q [19]))) # (!\inst|inst12|auto_generated|safe_q [20]) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~1_combout = (!\addr~combout [3] & ((\addr~combout [2] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~13_combout )) # (!\addr~combout [2] & +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~15_combout ))))) - .dataa(\inst|inst12|auto_generated|safe_q [20]), - .datab(\inst|inst12|auto_generated|safe_q [17]), - .datac(\inst|inst12|auto_generated|safe_q [18]), - .datad(\inst|inst12|auto_generated|safe_q [19]), + .dataa(\addr~combout [2]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~13_combout ), + .datac(\addr~combout [3]), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~15_combout ), .cin(gnd), - .combout(\inst|inst11|auto_generated|aneb_result_wire[0]~0_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst11|auto_generated|aneb_result_wire[0]~0 .lut_mask = 16'hFFFD; -defparam \inst|inst11|auto_generated|aneb_result_wire[0]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~1 .lut_mask = 16'h0D08; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y15_N28 -cycloneii_lcell_comb \inst|inst11|auto_generated|aneb_result_wire[0]~4 ( +// Location: LCCOMB_X32_Y12_N30 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~6 ( // Equation(s): -// \inst|inst11|auto_generated|aneb_result_wire[0]~4_combout = (\inst|inst11|auto_generated|aneb_result_wire[0]~1_combout ) # ((\inst|inst11|auto_generated|aneb_result_wire[0]~2_combout ) # ((\inst|inst11|auto_generated|aneb_result_wire[0]~3_combout ) # -// (\inst|inst11|auto_generated|aneb_result_wire[0]~0_combout ))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~6_combout = (!\addr~combout [0] & ((\addr~combout [1] & ((\inst|inst4|inst21|dffs [4]))) # (!\addr~combout [1] & (\inst|inst4|inst17|dffs [4])))) - .dataa(\inst|inst11|auto_generated|aneb_result_wire[0]~1_combout ), - .datab(\inst|inst11|auto_generated|aneb_result_wire[0]~2_combout ), - .datac(\inst|inst11|auto_generated|aneb_result_wire[0]~3_combout ), - .datad(\inst|inst11|auto_generated|aneb_result_wire[0]~0_combout ), + .dataa(\addr~combout [1]), + .datab(\inst|inst4|inst17|dffs [4]), + .datac(\addr~combout [0]), + .datad(\inst|inst4|inst21|dffs [4]), .cin(gnd), - .combout(\inst|inst11|auto_generated|aneb_result_wire[0]~4_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~6_combout ), .cout()); // synopsys translate_off -defparam \inst|inst11|auto_generated|aneb_result_wire[0]~4 .lut_mask = 16'hFFFE; -defparam \inst|inst11|auto_generated|aneb_result_wire[0]~4 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~6 .lut_mask = 16'h0E04; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~6 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y16_N13 -cycloneii_lcell_ff \inst|inst12|auto_generated|counter_reg_bit1a[0] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst12|auto_generated|counter_comb_bita0~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), - .sclr(gnd), - .sload(gnd), - .ena(!\rst~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst12|auto_generated|safe_q [0])); +// Location: LCCOMB_X33_Y12_N20 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~5 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~5_combout = (\addr~combout [0] & ((\addr~combout [1] & (\inst|inst4|inst23|dffs [4])) # (!\addr~combout [1] & ((\inst|inst4|inst19|dffs [4]))))) -// Location: LCCOMB_X24_Y16_N4 -cycloneii_lcell_comb \inst|inst11|auto_generated|aneb_result_wire[0] ( + .dataa(\addr~combout [0]), + .datab(\inst|inst4|inst23|dffs [4]), + .datac(\addr~combout [1]), + .datad(\inst|inst4|inst19|dffs [4]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~5_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~5 .lut_mask = 16'h8A80; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y12_N8 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~7 ( // Equation(s): -// \inst|inst11|auto_generated|aneb_result_wire [0] = (!\inst|inst11|auto_generated|aneb_result_wire[0]~5_combout & (!\inst|inst11|auto_generated|aneb_result_wire[0]~4_combout & !\inst|inst12|auto_generated|safe_q [0])) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~7_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~4_combout ) # ((!\addr~combout [2] & +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~6_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~5_combout )))) - .dataa(vcc), - .datab(\inst|inst11|auto_generated|aneb_result_wire[0]~5_combout ), - .datac(\inst|inst11|auto_generated|aneb_result_wire[0]~4_combout ), - .datad(\inst|inst12|auto_generated|safe_q [0]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~4_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~6_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~5_combout ), + .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst11|auto_generated|aneb_result_wire [0]), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~7_combout ), .cout()); // synopsys translate_off -defparam \inst|inst11|auto_generated|aneb_result_wire[0] .lut_mask = 16'h0003; -defparam \inst|inst11|auto_generated|aneb_result_wire[0] .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~7 .lut_mask = 16'hAAFE; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~7 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y18_N14 -cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|counter_reg_bit1a[0]~6 ( +// Location: LCCOMB_X27_Y12_N28 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~8 ( // Equation(s): -// \inst|inst4|inst115|auto_generated|counter_reg_bit1a[0]~6_combout = (!\inst|inst4|inst109~combout & (\inst|inst4|inst115|auto_generated|safe_q [0] & !\inst|inst11|auto_generated|aneb_result_wire [0])) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~8_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~1_combout ) # +// ((\addr~combout [3] & \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~7_combout )))) - .dataa(\inst|inst4|inst109~combout ), - .datab(\inst|inst4|inst115|auto_generated|safe_q [0]), - .datac(vcc), - .datad(\inst|inst11|auto_generated|aneb_result_wire [0]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~1_combout ), + .datac(\addr~combout [3]), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~7_combout ), .cin(gnd), - .combout(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[0]~6_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~8_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[0]~6 .lut_mask = 16'h0044; -defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[0]~6 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~8 .lut_mask = 16'hA888; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~8 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: PIN_U11, I/O Standard: 3.3-V LVTTL, Current Strength: Default -cycloneii_io \mode[0]~I ( +// Location: LCCOMB_X27_Y12_N0 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~8_combout ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~36_combout & +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~152_combout ))) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~36_combout & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~16_combout ))) + + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~36_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~16_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~152_combout ), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~8_combout ), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17 .lut_mask = 16'hFFE4; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_L2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \mode_segment~I ( .datain(gnd), .oe(gnd), .outclk(gnd), @@ -26693,11299 +32168,11534 @@ cycloneii_io \mode[0]~I ( .devclrn(devclrn), .devpor(devpor), .devoe(devoe), - .combout(\mode~combout [0]), + .combout(\mode_segment~combout ), .regout(), .differentialout(), .linkout(), - .padio(mode[0])); + .padio(mode_segment)); // synopsys translate_off -defparam \mode[0]~I .input_async_reset = "none"; -defparam \mode[0]~I .input_power_up = "low"; -defparam \mode[0]~I .input_register_mode = "none"; -defparam \mode[0]~I .input_sync_reset = "none"; -defparam \mode[0]~I .oe_async_reset = "none"; -defparam \mode[0]~I .oe_power_up = "low"; -defparam \mode[0]~I .oe_register_mode = "none"; -defparam \mode[0]~I .oe_sync_reset = "none"; -defparam \mode[0]~I .operation_mode = "input"; -defparam \mode[0]~I .output_async_reset = "none"; -defparam \mode[0]~I .output_power_up = "low"; -defparam \mode[0]~I .output_register_mode = "none"; -defparam \mode[0]~I .output_sync_reset = "none"; +defparam \mode_segment~I .input_async_reset = "none"; +defparam \mode_segment~I .input_power_up = "low"; +defparam \mode_segment~I .input_register_mode = "none"; +defparam \mode_segment~I .input_sync_reset = "none"; +defparam \mode_segment~I .oe_async_reset = "none"; +defparam \mode_segment~I .oe_power_up = "low"; +defparam \mode_segment~I .oe_register_mode = "none"; +defparam \mode_segment~I .oe_sync_reset = "none"; +defparam \mode_segment~I .operation_mode = "input"; +defparam \mode_segment~I .output_async_reset = "none"; +defparam \mode_segment~I .output_power_up = "low"; +defparam \mode_segment~I .output_register_mode = "none"; +defparam \mode_segment~I .output_sync_reset = "none"; // synopsys translate_on -// Location: PIN_M2, I/O Standard: 3.3-V LVTTL, Current Strength: Default -cycloneii_io \mode[1]~I ( - .datain(gnd), - .oe(gnd), - .outclk(gnd), - .outclkena(vcc), - .inclk(gnd), - .inclkena(vcc), - .areset(gnd), - .sreset(gnd), - .differentialin(gnd), - .linkin(gnd), +// Location: LCCOMB_X23_Y12_N28 +cycloneii_lcell_comb \inst|inst4|inst56|$00000|auto_generated|result_node[3]~1 ( +// Equation(s): +// \inst|inst4|inst56|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst54|dffs [3]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst59|dffs [3])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst54|dffs [3])))) + + .dataa(\inst|inst4|inst59|dffs [3]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst54|dffs [3]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst56|$00000|auto_generated|result_node[3]~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst56|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hF0B8; +defparam \inst|inst4|inst56|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X23_Y12_N22 +cycloneii_lcell_comb \inst|inst4|inst57|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst57|dffs[3]~feeder_combout = \inst|inst4|inst56|$00000|auto_generated|result_node[3]~1_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst56|$00000|auto_generated|result_node[3]~1_combout ), + .cin(gnd), + .combout(\inst|inst4|inst57|dffs[3]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst57|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst57|dffs[3]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X20_Y13_N14 +cycloneii_lcell_comb \inst|inst4|inst124|inst78~0 ( +// Equation(s): +// \inst|inst4|inst124|inst78~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [28] & \inst|inst4|inst124|inst84~0_combout )))) + + .dataa(\inst|inst4|inst107~0_combout ), + .datab(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [28]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst124|inst84~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst124|inst78~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst78~0 .lut_mask = 16'h0E0A; +defparam \inst|inst4|inst124|inst78~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X23_Y12_N23 +cycloneii_lcell_ff \inst|inst4|inst57|dffs[3] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst57|dffs[3]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .devoe(devoe), - .combout(\mode~combout [1]), - .regout(), - .differentialout(), - .linkout(), - .padio(mode[1])); + .regout(\inst|inst4|inst57|dffs [3])); + +// Location: LCCOMB_X23_Y12_N18 +cycloneii_lcell_comb \inst|inst4|inst55|$00000|auto_generated|result_node[3]~1 ( +// Equation(s): +// \inst|inst4|inst55|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst53|dffs [3])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst57|dffs [3]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst53|dffs [3])) + + .dataa(\inst|inst4|inst53|dffs [3]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst57|dffs [3]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst55|$00000|auto_generated|result_node[3]~1_combout ), + .cout()); // synopsys translate_off -defparam \mode[1]~I .input_async_reset = "none"; -defparam \mode[1]~I .input_power_up = "low"; -defparam \mode[1]~I .input_register_mode = "none"; -defparam \mode[1]~I .input_sync_reset = "none"; -defparam \mode[1]~I .oe_async_reset = "none"; -defparam \mode[1]~I .oe_power_up = "low"; -defparam \mode[1]~I .oe_register_mode = "none"; -defparam \mode[1]~I .oe_sync_reset = "none"; -defparam \mode[1]~I .operation_mode = "input"; -defparam \mode[1]~I .output_async_reset = "none"; -defparam \mode[1]~I .output_power_up = "low"; -defparam \mode[1]~I .output_register_mode = "none"; -defparam \mode[1]~I .output_sync_reset = "none"; +defparam \inst|inst4|inst55|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hAAE2; +defparam \inst|inst4|inst55|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X37_Y16_N12 -cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita0 ( +// Location: LCCOMB_X22_Y14_N24 +cycloneii_lcell_comb \inst|inst4|inst54|dffs[3]~feeder ( // Equation(s): -// \inst|inst|auto_generated|counter_comb_bita0~combout = \inst|inst|auto_generated|safe_q [0] $ (VCC) -// \inst|inst|auto_generated|counter_comb_bita0~COUT = CARRY(\inst|inst|auto_generated|safe_q [0]) +// \inst|inst4|inst54|dffs[3]~feeder_combout = \inst|inst4|inst55|$00000|auto_generated|result_node[3]~1_combout - .dataa(\inst|inst|auto_generated|safe_q [0]), + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(vcc), + .datad(\inst|inst4|inst55|$00000|auto_generated|result_node[3]~1_combout ), .cin(gnd), - .combout(\inst|inst|auto_generated|counter_comb_bita0~combout ), - .cout(\inst|inst|auto_generated|counter_comb_bita0~COUT )); + .combout(\inst|inst4|inst54|dffs[3]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst|auto_generated|counter_comb_bita0 .lut_mask = 16'h55AA; -defparam \inst|inst|auto_generated|counter_comb_bita0 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst54|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst54|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: PIN_R22, I/O Standard: 3.3-V LVTTL, Current Strength: Default -cycloneii_io \button~I ( - .datain(gnd), - .oe(gnd), - .outclk(gnd), - .outclkena(vcc), - .inclk(gnd), - .inclkena(vcc), - .areset(gnd), - .sreset(gnd), - .differentialin(gnd), - .linkin(gnd), +// Location: LCFF_X22_Y14_N25 +cycloneii_lcell_ff \inst|inst4|inst54|dffs[3] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst54|dffs[3]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst79~0_combout ), .devclrn(devclrn), .devpor(devpor), - .devoe(devoe), - .combout(\button~combout ), - .regout(), - .differentialout(), - .linkout(), - .padio(button)); + .regout(\inst|inst4|inst54|dffs [3])); + +// Location: LCCOMB_X23_Y12_N20 +cycloneii_lcell_comb \inst|inst4|inst52|$00000|auto_generated|result_node[3]~1 ( +// Equation(s): +// \inst|inst4|inst52|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst51|dffs [3])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst54|dffs [3]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst51|dffs [3])) + + .dataa(\inst|inst4|inst51|dffs [3]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst54|dffs [3]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst52|$00000|auto_generated|result_node[3]~1_combout ), + .cout()); // synopsys translate_off -defparam \button~I .input_async_reset = "none"; -defparam \button~I .input_power_up = "low"; -defparam \button~I .input_register_mode = "none"; -defparam \button~I .input_sync_reset = "none"; -defparam \button~I .oe_async_reset = "none"; -defparam \button~I .oe_power_up = "low"; -defparam \button~I .oe_register_mode = "none"; -defparam \button~I .oe_sync_reset = "none"; -defparam \button~I .operation_mode = "input"; -defparam \button~I .output_async_reset = "none"; -defparam \button~I .output_power_up = "low"; -defparam \button~I .output_register_mode = "none"; -defparam \button~I .output_sync_reset = "none"; +defparam \inst|inst4|inst52|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hAAE2; +defparam \inst|inst4|inst52|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X37_Y16_N13 -cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[0] ( +// Location: LCCOMB_X23_Y14_N8 +cycloneii_lcell_comb \inst|inst4|inst53|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst53|dffs[3]~feeder_combout = \inst|inst4|inst52|$00000|auto_generated|result_node[3]~1_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst52|$00000|auto_generated|result_node[3]~1_combout ), + .cin(gnd), + .combout(\inst|inst4|inst53|dffs[3]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst53|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst53|dffs[3]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X20_Y13_N16 +cycloneii_lcell_comb \inst|inst4|inst124|inst80~0 ( +// Equation(s): +// \inst|inst4|inst124|inst80~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [26] & \inst|inst4|inst124|inst84~0_combout )))) + + .dataa(\inst|inst4|inst107~0_combout ), + .datab(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [26]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst124|inst84~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst124|inst80~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst124|inst80~0 .lut_mask = 16'h0E0A; +defparam \inst|inst4|inst124|inst80~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X23_Y14_N9 +cycloneii_lcell_ff \inst|inst4|inst53|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst|auto_generated|counter_comb_bita0~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), + .datain(\inst|inst4|inst53|dffs[3]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(!\button~combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst80~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst|auto_generated|safe_q [0])); + .regout(\inst|inst4|inst53|dffs [3])); -// Location: LCCOMB_X37_Y16_N14 -cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita1 ( +// Location: LCCOMB_X24_Y11_N4 +cycloneii_lcell_comb \inst|inst4|inst50|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst|auto_generated|counter_comb_bita1~combout = (\inst|inst|auto_generated|safe_q [1] & (!\inst|inst|auto_generated|counter_comb_bita0~COUT )) # (!\inst|inst|auto_generated|safe_q [1] & ((\inst|inst|auto_generated|counter_comb_bita0~COUT ) # -// (GND))) -// \inst|inst|auto_generated|counter_comb_bita1~COUT = CARRY((!\inst|inst|auto_generated|counter_comb_bita0~COUT ) # (!\inst|inst|auto_generated|safe_q [1])) +// \inst|inst4|inst50|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst49|dffs [3])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst53|dffs [3]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst49|dffs [3])))) + + .dataa(\inst|inst4|inst49|dffs [3]), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst53|dffs [3]), + .datad(\inst|inst4|inst108~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst50|$00000|auto_generated|result_node[3]~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst50|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hB8AA; +defparam \inst|inst4|inst50|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y15_N20 +cycloneii_lcell_comb \inst|inst4|inst51|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst51|dffs[3]~feeder_combout = \inst|inst4|inst50|$00000|auto_generated|result_node[3]~1_combout .dataa(vcc), - .datab(\inst|inst|auto_generated|safe_q [1]), + .datab(vcc), .datac(vcc), - .datad(vcc), - .cin(\inst|inst|auto_generated|counter_comb_bita0~COUT ), - .combout(\inst|inst|auto_generated|counter_comb_bita1~combout ), - .cout(\inst|inst|auto_generated|counter_comb_bita1~COUT )); + .datad(\inst|inst4|inst50|$00000|auto_generated|result_node[3]~1_combout ), + .cin(gnd), + .combout(\inst|inst4|inst51|dffs[3]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst|auto_generated|counter_comb_bita1 .lut_mask = 16'h3C3F; -defparam \inst|inst|auto_generated|counter_comb_bita1 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst51|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst51|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X37_Y16_N15 -cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[1] ( +// Location: LCFF_X24_Y15_N21 +cycloneii_lcell_ff \inst|inst4|inst51|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst|auto_generated|counter_comb_bita1~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), + .datain(\inst|inst4|inst51|dffs[3]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(!\button~combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst81~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst|auto_generated|safe_q [1])); + .regout(\inst|inst4|inst51|dffs [3])); -// Location: LCCOMB_X37_Y16_N18 -cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita3 ( +// Location: LCCOMB_X24_Y11_N14 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~30 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~30_combout = (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst51|dffs [3]))) # (!\addr~combout [0] & (\inst|inst4|inst49|dffs [3])))) + + .dataa(\inst|inst4|inst49|dffs [3]), + .datab(\inst|inst4|inst51|dffs [3]), + .datac(\addr~combout [0]), + .datad(\addr~combout [1]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~30_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~30 .lut_mask = 16'h00CA; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~30 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X23_Y12_N26 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~27 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~27_combout = (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst59|dffs [3])) # (!\addr~combout [0] & ((\inst|inst4|inst57|dffs [3]))))) + + .dataa(\inst|inst4|inst59|dffs [3]), + .datab(\addr~combout [0]), + .datac(\addr~combout [1]), + .datad(\inst|inst4|inst57|dffs [3]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~27_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~27 .lut_mask = 16'h0B08; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~27 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X23_Y12_N8 +cycloneii_lcell_comb \inst|inst4|inst60|$00000|auto_generated|result_node[3]~1 ( +// Equation(s): +// \inst|inst4|inst60|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst59|dffs [3])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst63|dffs [3]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst59|dffs [3])) + + .dataa(\inst|inst4|inst59|dffs [3]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst63|dffs [3]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst60|$00000|auto_generated|result_node[3]~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst60|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hAAE2; +defparam \inst|inst4|inst60|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X22_Y13_N30 +cycloneii_lcell_comb \inst|inst4|inst61|dffs[3]~feeder ( // Equation(s): -// \inst|inst|auto_generated|counter_comb_bita3~combout = (\inst|inst|auto_generated|safe_q [3] & (!\inst|inst|auto_generated|counter_comb_bita2~COUT )) # (!\inst|inst|auto_generated|safe_q [3] & ((\inst|inst|auto_generated|counter_comb_bita2~COUT ) # -// (GND))) -// \inst|inst|auto_generated|counter_comb_bita3~COUT = CARRY((!\inst|inst|auto_generated|counter_comb_bita2~COUT ) # (!\inst|inst|auto_generated|safe_q [3])) +// \inst|inst4|inst61|dffs[3]~feeder_combout = \inst|inst4|inst60|$00000|auto_generated|result_node[3]~1_combout .dataa(vcc), - .datab(\inst|inst|auto_generated|safe_q [3]), + .datab(\inst|inst4|inst60|$00000|auto_generated|result_node[3]~1_combout ), .datac(vcc), .datad(vcc), - .cin(\inst|inst|auto_generated|counter_comb_bita2~COUT ), - .combout(\inst|inst|auto_generated|counter_comb_bita3~combout ), - .cout(\inst|inst|auto_generated|counter_comb_bita3~COUT )); + .cin(gnd), + .combout(\inst|inst4|inst61|dffs[3]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst|auto_generated|counter_comb_bita3 .lut_mask = 16'h3C3F; -defparam \inst|inst|auto_generated|counter_comb_bita3 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst61|dffs[3]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst61|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X37_Y16_N19 -cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[3] ( +// Location: LCFF_X22_Y13_N31 +cycloneii_lcell_ff \inst|inst4|inst61|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst|auto_generated|counter_comb_bita3~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), + .datain(\inst|inst4|inst61|dffs[3]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(!\button~combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst76~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst|auto_generated|safe_q [3])); + .regout(\inst|inst4|inst61|dffs [3])); -// Location: LCCOMB_X37_Y16_N20 -cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita4 ( +// Location: LCCOMB_X23_Y12_N14 +cycloneii_lcell_comb \inst|inst4|inst62|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst|auto_generated|counter_comb_bita4~combout = (\inst|inst|auto_generated|safe_q [4] & (\inst|inst|auto_generated|counter_comb_bita3~COUT $ (GND))) # (!\inst|inst|auto_generated|safe_q [4] & (!\inst|inst|auto_generated|counter_comb_bita3~COUT & -// VCC)) -// \inst|inst|auto_generated|counter_comb_bita4~COUT = CARRY((\inst|inst|auto_generated|safe_q [4] & !\inst|inst|auto_generated|counter_comb_bita3~COUT )) +// \inst|inst4|inst62|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst61|dffs [3])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst65|dffs [3])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst61|dffs [3]))))) - .dataa(\inst|inst|auto_generated|safe_q [4]), - .datab(vcc), + .dataa(\inst|inst4|inst65|dffs [3]), + .datab(\inst|inst4|inst61|dffs [3]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst62|$00000|auto_generated|result_node[3]~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst62|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hCACC; +defparam \inst|inst4|inst62|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X22_Y13_N20 +cycloneii_lcell_comb \inst|inst4|inst63|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst63|dffs[3]~feeder_combout = \inst|inst4|inst62|$00000|auto_generated|result_node[3]~1_combout + + .dataa(vcc), + .datab(\inst|inst4|inst62|$00000|auto_generated|result_node[3]~1_combout ), .datac(vcc), .datad(vcc), - .cin(\inst|inst|auto_generated|counter_comb_bita3~COUT ), - .combout(\inst|inst|auto_generated|counter_comb_bita4~combout ), - .cout(\inst|inst|auto_generated|counter_comb_bita4~COUT )); + .cin(gnd), + .combout(\inst|inst4|inst63|dffs[3]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst|auto_generated|counter_comb_bita4 .lut_mask = 16'hA50A; -defparam \inst|inst|auto_generated|counter_comb_bita4 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst63|dffs[3]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst63|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X37_Y16_N21 -cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[4] ( +// Location: LCFF_X22_Y13_N21 +cycloneii_lcell_ff \inst|inst4|inst63|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst|auto_generated|counter_comb_bita4~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), + .datain(\inst|inst4|inst63|dffs[3]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(!\button~combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst75~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst|auto_generated|safe_q [4])); + .regout(\inst|inst4|inst63|dffs [3])); -// Location: LCCOMB_X37_Y16_N2 -cycloneii_lcell_comb \inst|inst1|auto_generated|aneb_result_wire[0]~5 ( +// Location: LCCOMB_X23_Y12_N4 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~28 ( // Equation(s): -// \inst|inst1|auto_generated|aneb_result_wire[0]~5_combout = (\inst|inst|auto_generated|safe_q [2]) # ((\inst|inst|auto_generated|safe_q [1]) # ((\inst|inst|auto_generated|safe_q [4]) # (\inst|inst|auto_generated|safe_q [3]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~28_combout = (\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst63|dffs [3])) # (!\addr~combout [0] & ((\inst|inst4|inst61|dffs [3]))))) - .dataa(\inst|inst|auto_generated|safe_q [2]), - .datab(\inst|inst|auto_generated|safe_q [1]), - .datac(\inst|inst|auto_generated|safe_q [4]), - .datad(\inst|inst|auto_generated|safe_q [3]), + .dataa(\addr~combout [1]), + .datab(\addr~combout [0]), + .datac(\inst|inst4|inst63|dffs [3]), + .datad(\inst|inst4|inst61|dffs [3]), .cin(gnd), - .combout(\inst|inst1|auto_generated|aneb_result_wire[0]~5_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~28_combout ), .cout()); // synopsys translate_off -defparam \inst|inst1|auto_generated|aneb_result_wire[0]~5 .lut_mask = 16'hFFFE; -defparam \inst|inst1|auto_generated|aneb_result_wire[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~28 .lut_mask = 16'hA280; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~28 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X36_Y17_N24 -cycloneii_lcell_comb \inst|inst1|auto_generated|aneb_result_wire[0]~6 ( +// Location: LCCOMB_X23_Y12_N6 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~29 ( // Equation(s): -// \inst|inst1|auto_generated|aneb_result_wire[0]~6_combout = (\inst|inst|auto_generated|safe_q [0]) # (\inst|inst1|auto_generated|aneb_result_wire[0]~5_combout ) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~29_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~27_combout ) # +// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~28_combout ))) .dataa(vcc), - .datab(vcc), - .datac(\inst|inst|auto_generated|safe_q [0]), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~5_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~27_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~28_combout ), + .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~29_combout ), .cout()); // synopsys translate_off -defparam \inst|inst1|auto_generated|aneb_result_wire[0]~6 .lut_mask = 16'hFFF0; -defparam \inst|inst1|auto_generated|aneb_result_wire[0]~6 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~29 .lut_mask = 16'hFC00; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~29 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y15_N8 -cycloneii_lcell_comb \inst|inst4|inst109 ( +// Location: LCCOMB_X26_Y12_N30 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~31 ( // Equation(s): -// \inst|inst4|inst109~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & (\mode~combout [0] & (\mode~combout [1] & !\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~31_combout = (\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst54|dffs [3]))) # (!\addr~combout [0] & (\inst|inst4|inst53|dffs [3])))) - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datab(\mode~combout [0]), - .datac(\mode~combout [1]), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), + .dataa(\inst|inst4|inst53|dffs [3]), + .datab(\addr~combout [1]), + .datac(\inst|inst4|inst54|dffs [3]), + .datad(\addr~combout [0]), .cin(gnd), - .combout(\inst|inst4|inst109~combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~31_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst109 .lut_mask = 16'h0040; -defparam \inst|inst4|inst109 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~31 .lut_mask = 16'hC088; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~31 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y16_N6 -cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1 ( +// Location: LCCOMB_X26_Y12_N16 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~32 ( // Equation(s): -// \inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1_combout = (\inst|inst4|inst109~combout ) # ((!\inst|inst12|auto_generated|safe_q [0] & (!\inst|inst11|auto_generated|aneb_result_wire[0]~5_combout & -// !\inst|inst11|auto_generated|aneb_result_wire[0]~4_combout ))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~32_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~29_combout ) # ((!\addr~combout [2] & +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~30_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~31_combout )))) - .dataa(\inst|inst12|auto_generated|safe_q [0]), - .datab(\inst|inst11|auto_generated|aneb_result_wire[0]~5_combout ), - .datac(\inst|inst11|auto_generated|aneb_result_wire[0]~4_combout ), - .datad(\inst|inst4|inst109~combout ), + .dataa(\addr~combout [2]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~30_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~29_combout ), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~31_combout ), .cin(gnd), - .combout(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~32_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1 .lut_mask = 16'hFF01; -defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~32 .lut_mask = 16'hF5F4; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~32 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y15_N10 -cycloneii_lcell_comb \inst|inst4|inst108 ( +// Location: LCCOMB_X26_Y12_N18 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~33 ( // Equation(s): -// \inst|inst4|inst108~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & (!\mode~combout [0] & (\mode~combout [1] & !\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~33_combout = (\addr~combout [4] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~26_combout ) # +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~32_combout & \addr~combout [3])))) - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datab(\mode~combout [0]), - .datac(\mode~combout [1]), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~26_combout ), + .datab(\addr~combout [4]), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~32_combout ), + .datad(\addr~combout [3]), .cin(gnd), - .combout(\inst|inst4|inst108~combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~33_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst108 .lut_mask = 16'h0010; -defparam \inst|inst4|inst108 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X24_Y18_N2 -cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|counter_comb_bita1 ( -// Equation(s): -// \inst|inst4|inst115|auto_generated|counter_comb_bita1~combout = (\inst|inst4|inst115|auto_generated|counter_comb_bita0~COUT & (\inst|inst4|inst115|auto_generated|safe_q [1] $ (((\inst|inst4|inst107~combout ) # (VCC))))) # -// (!\inst|inst4|inst115|auto_generated|counter_comb_bita0~COUT & (((\inst|inst4|inst115|auto_generated|safe_q [1]) # (GND)))) -// \inst|inst4|inst115|auto_generated|counter_comb_bita1~COUT = CARRY((\inst|inst4|inst107~combout $ (\inst|inst4|inst115|auto_generated|safe_q [1])) # (!\inst|inst4|inst115|auto_generated|counter_comb_bita0~COUT )) - - .dataa(\inst|inst4|inst107~combout ), - .datab(\inst|inst4|inst115|auto_generated|safe_q [1]), - .datac(vcc), - .datad(vcc), - .cin(\inst|inst4|inst115|auto_generated|counter_comb_bita0~COUT ), - .combout(\inst|inst4|inst115|auto_generated|counter_comb_bita1~combout ), - .cout(\inst|inst4|inst115|auto_generated|counter_comb_bita1~COUT )); -// synopsys translate_off -defparam \inst|inst4|inst115|auto_generated|counter_comb_bita1 .lut_mask = 16'h3C6F; -defparam \inst|inst4|inst115|auto_generated|counter_comb_bita1 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~33 .lut_mask = 16'hC888; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~33 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y18_N20 -cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|counter_reg_bit1a[1]~5 ( +// Location: LCCOMB_X27_Y12_N24 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0 ( // Equation(s): -// \inst|inst4|inst115|auto_generated|counter_reg_bit1a[1]~5_combout = (!\inst|inst4|inst109~combout & (\inst|inst4|inst115|auto_generated|safe_q [1] & !\inst|inst11|auto_generated|aneb_result_wire [0])) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout = (!\addr~combout [4] & !\addr~combout [5]) - .dataa(\inst|inst4|inst109~combout ), - .datab(\inst|inst4|inst115|auto_generated|safe_q [1]), + .dataa(\addr~combout [4]), + .datab(vcc), .datac(vcc), - .datad(\inst|inst11|auto_generated|aneb_result_wire [0]), + .datad(\addr~combout [5]), .cin(gnd), - .combout(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[1]~5_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[1]~5 .lut_mask = 16'h0044; -defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[1]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0 .lut_mask = 16'h0055; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y18_N3 -cycloneii_lcell_ff \inst|inst4|inst115|auto_generated|counter_reg_bit1a[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst115|auto_generated|counter_comb_bita1~combout ), - .sdata(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[1]~5_combout ), - .aclr(gnd), - .sclr(gnd), - .sload(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1_combout ), - .ena(\inst|inst4|inst115|auto_generated|_~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst115|auto_generated|safe_q [1])); - -// Location: LCCOMB_X24_Y18_N4 -cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|counter_comb_bita2 ( +// Location: LCCOMB_X24_Y13_N26 +cycloneii_lcell_comb \inst|inst4|inst30|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst115|auto_generated|counter_comb_bita2~combout = (\inst|inst4|inst115|auto_generated|counter_comb_bita1~COUT & (((\inst|inst4|inst115|auto_generated|safe_q [2] & VCC)))) # (!\inst|inst4|inst115|auto_generated|counter_comb_bita1~COUT & -// (\inst|inst4|inst115|auto_generated|safe_q [2] $ (((VCC) # (!\inst|inst4|inst107~combout ))))) -// \inst|inst4|inst115|auto_generated|counter_comb_bita2~COUT = CARRY((!\inst|inst4|inst115|auto_generated|counter_comb_bita1~COUT & (\inst|inst4|inst107~combout $ (!\inst|inst4|inst115|auto_generated|safe_q [2])))) +// \inst|inst4|inst30|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst28|dffs [3])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst33|dffs [3])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst28|dffs [3]))))) - .dataa(\inst|inst4|inst107~combout ), - .datab(\inst|inst4|inst115|auto_generated|safe_q [2]), - .datac(vcc), - .datad(vcc), - .cin(\inst|inst4|inst115|auto_generated|counter_comb_bita1~COUT ), - .combout(\inst|inst4|inst115|auto_generated|counter_comb_bita2~combout ), - .cout(\inst|inst4|inst115|auto_generated|counter_comb_bita2~COUT )); + .dataa(\inst|inst4|inst33|dffs [3]), + .datab(\inst|inst4|inst28|dffs [3]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst30|$00000|auto_generated|result_node[3]~1_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst4|inst115|auto_generated|counter_comb_bita2 .lut_mask = 16'hC309; -defparam \inst|inst4|inst115|auto_generated|counter_comb_bita2 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst30|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hCACC; +defparam \inst|inst4|inst30|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y18_N24 -cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|counter_reg_bit1a[2]~3 ( +// Location: LCCOMB_X31_Y13_N18 +cycloneii_lcell_comb \inst|inst4|inst31|dffs[3]~feeder ( // Equation(s): -// \inst|inst4|inst115|auto_generated|counter_reg_bit1a[2]~3_combout = (!\inst|inst11|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst109~combout ) # (\inst|inst4|inst115|auto_generated|safe_q [2]))) +// \inst|inst4|inst31|dffs[3]~feeder_combout = \inst|inst4|inst30|$00000|auto_generated|result_node[3]~1_combout - .dataa(\inst|inst4|inst109~combout ), + .dataa(vcc), .datab(vcc), - .datac(\inst|inst4|inst115|auto_generated|safe_q [2]), - .datad(\inst|inst11|auto_generated|aneb_result_wire [0]), + .datac(vcc), + .datad(\inst|inst4|inst30|$00000|auto_generated|result_node[3]~1_combout ), .cin(gnd), - .combout(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[2]~3_combout ), + .combout(\inst|inst4|inst31|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[2]~3 .lut_mask = 16'h00FA; -defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[2]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst31|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst31|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y18_N5 -cycloneii_lcell_ff \inst|inst4|inst115|auto_generated|counter_reg_bit1a[2] ( +// Location: LCFF_X31_Y13_N19 +cycloneii_lcell_ff \inst|inst4|inst31|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst115|auto_generated|counter_comb_bita2~combout ), - .sdata(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[2]~3_combout ), - .aclr(gnd), + .datain(\inst|inst4|inst31|dffs[3]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1_combout ), - .ena(\inst|inst4|inst115|auto_generated|_~0_combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst91~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst115|auto_generated|safe_q [2])); + .regout(\inst|inst4|inst31|dffs [3])); -// Location: LCCOMB_X24_Y18_N6 -cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|counter_comb_bita3 ( +// Location: LCCOMB_X33_Y15_N0 +cycloneii_lcell_comb \inst|inst4|inst29|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst115|auto_generated|counter_comb_bita3~combout = (\inst|inst4|inst115|auto_generated|counter_comb_bita2~COUT & (\inst|inst4|inst115|auto_generated|safe_q [3] $ (((\inst|inst4|inst107~combout ) # (VCC))))) # -// (!\inst|inst4|inst115|auto_generated|counter_comb_bita2~COUT & (((\inst|inst4|inst115|auto_generated|safe_q [3]) # (GND)))) -// \inst|inst4|inst115|auto_generated|counter_comb_bita3~COUT = CARRY((\inst|inst4|inst107~combout $ (\inst|inst4|inst115|auto_generated|safe_q [3])) # (!\inst|inst4|inst115|auto_generated|counter_comb_bita2~COUT )) +// \inst|inst4|inst29|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst27|dffs [3])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst31|dffs [3]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst27|dffs [3])) - .dataa(\inst|inst4|inst107~combout ), - .datab(\inst|inst4|inst115|auto_generated|safe_q [3]), + .dataa(\inst|inst4|inst27|dffs [3]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst31|dffs [3]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst29|$00000|auto_generated|result_node[3]~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst29|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hAAE2; +defparam \inst|inst4|inst29|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X31_Y12_N12 +cycloneii_lcell_comb \inst|inst4|inst28|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst28|dffs[3]~feeder_combout = \inst|inst4|inst29|$00000|auto_generated|result_node[3]~1_combout + + .dataa(vcc), + .datab(\inst|inst4|inst29|$00000|auto_generated|result_node[3]~1_combout ), .datac(vcc), .datad(vcc), - .cin(\inst|inst4|inst115|auto_generated|counter_comb_bita2~COUT ), - .combout(\inst|inst4|inst115|auto_generated|counter_comb_bita3~combout ), - .cout(\inst|inst4|inst115|auto_generated|counter_comb_bita3~COUT )); + .cin(gnd), + .combout(\inst|inst4|inst28|dffs[3]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst4|inst115|auto_generated|counter_comb_bita3 .lut_mask = 16'h3C6F; -defparam \inst|inst4|inst115|auto_generated|counter_comb_bita3 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst28|dffs[3]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst28|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y18_N18 -cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~4 ( +// Location: LCCOMB_X26_Y11_N22 +cycloneii_lcell_comb \inst|inst4|inst124|inst92~0 ( // Equation(s): -// \inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~4_combout = (\inst|inst4|inst115|auto_generated|safe_q [3] & (!\inst|inst11|auto_generated|aneb_result_wire [0] & !\inst|inst4|inst109~combout )) +// \inst|inst4|inst124|inst92~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst124|inst84~0_combout & \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [14])))) - .dataa(\inst|inst4|inst115|auto_generated|safe_q [3]), - .datab(\inst|inst11|auto_generated|aneb_result_wire [0]), - .datac(vcc), - .datad(\inst|inst4|inst109~combout ), + .dataa(\inst|inst4|inst107~0_combout ), + .datab(\inst|inst4|inst124|inst84~0_combout ), + .datac(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [14]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~4_combout ), + .combout(\inst|inst4|inst124|inst92~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~4 .lut_mask = 16'h0022; -defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~4 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst124|inst92~0 .lut_mask = 16'h00EA; +defparam \inst|inst4|inst124|inst92~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y18_N7 -cycloneii_lcell_ff \inst|inst4|inst115|auto_generated|counter_reg_bit1a[3] ( +// Location: LCFF_X31_Y12_N13 +cycloneii_lcell_ff \inst|inst4|inst28|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst115|auto_generated|counter_comb_bita3~combout ), - .sdata(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~4_combout ), - .aclr(gnd), + .datain(\inst|inst4|inst28|dffs[3]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1_combout ), - .ena(\inst|inst4|inst115|auto_generated|_~0_combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst92~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst115|auto_generated|safe_q [3])); + .regout(\inst|inst4|inst28|dffs [3])); -// Location: LCCOMB_X24_Y18_N8 -cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|counter_comb_bita4 ( +// Location: LCCOMB_X33_Y15_N26 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~22 ( // Equation(s): -// \inst|inst4|inst115|auto_generated|counter_comb_bita4~combout = (\inst|inst4|inst115|auto_generated|counter_comb_bita3~COUT & (((\inst|inst4|inst115|auto_generated|safe_q [4] & VCC)))) # (!\inst|inst4|inst115|auto_generated|counter_comb_bita3~COUT & -// (\inst|inst4|inst115|auto_generated|safe_q [4] $ (((VCC) # (!\inst|inst4|inst107~combout ))))) -// \inst|inst4|inst115|auto_generated|counter_comb_bita4~COUT = CARRY((!\inst|inst4|inst115|auto_generated|counter_comb_bita3~COUT & (\inst|inst4|inst107~combout $ (!\inst|inst4|inst115|auto_generated|safe_q [4])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~22_combout = (\addr~combout [2] & ((\addr~combout [0] & (\inst|inst4|inst31|dffs [3])) # (!\addr~combout [0] & ((\inst|inst4|inst28|dffs [3]))))) - .dataa(\inst|inst4|inst107~combout ), - .datab(\inst|inst4|inst115|auto_generated|safe_q [4]), - .datac(vcc), - .datad(vcc), - .cin(\inst|inst4|inst115|auto_generated|counter_comb_bita3~COUT ), - .combout(\inst|inst4|inst115|auto_generated|counter_comb_bita4~combout ), - .cout(\inst|inst4|inst115|auto_generated|counter_comb_bita4~COUT )); + .dataa(\inst|inst4|inst31|dffs [3]), + .datab(\inst|inst4|inst28|dffs [3]), + .datac(\addr~combout [2]), + .datad(\addr~combout [0]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~22_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst4|inst115|auto_generated|counter_comb_bita4 .lut_mask = 16'hC309; -defparam \inst|inst4|inst115|auto_generated|counter_comb_bita4 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~22 .lut_mask = 16'hA0C0; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~22 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y18_N30 -cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|counter_reg_bit1a[4]~2 ( +// Location: LCCOMB_X34_Y13_N10 +cycloneii_lcell_comb \inst|inst4|inst14|dffs[3]~feeder ( // Equation(s): -// \inst|inst4|inst115|auto_generated|counter_reg_bit1a[4]~2_combout = (!\inst|inst11|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst109~combout ) # (\inst|inst4|inst115|auto_generated|safe_q [4]))) +// \inst|inst4|inst14|dffs[3]~feeder_combout = \inst|inst4|inst15|$00000|auto_generated|result_node[3]~1_combout - .dataa(\inst|inst4|inst109~combout ), + .dataa(\inst|inst4|inst15|$00000|auto_generated|result_node[3]~1_combout ), .datab(vcc), - .datac(\inst|inst4|inst115|auto_generated|safe_q [4]), - .datad(\inst|inst11|auto_generated|aneb_result_wire [0]), + .datac(vcc), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[4]~2_combout ), + .combout(\inst|inst4|inst14|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[4]~2 .lut_mask = 16'h00FA; -defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[4]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst14|dffs[3]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst14|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y18_N9 -cycloneii_lcell_ff \inst|inst4|inst115|auto_generated|counter_reg_bit1a[4] ( +// Location: LCFF_X34_Y13_N11 +cycloneii_lcell_ff \inst|inst4|inst14|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst115|auto_generated|counter_comb_bita4~combout ), - .sdata(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[4]~2_combout ), - .aclr(gnd), + .datain(\inst|inst4|inst14|dffs[3]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1_combout ), - .ena(\inst|inst4|inst115|auto_generated|_~0_combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst44~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst115|auto_generated|safe_q [4])); + .regout(\inst|inst4|inst14|dffs [3])); -// Location: LCCOMB_X24_Y18_N10 -cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|counter_comb_bita5 ( +// Location: LCCOMB_X33_Y13_N30 +cycloneii_lcell_comb \inst|inst4|inst16|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst115|auto_generated|counter_comb_bita5~combout = \inst|inst4|inst115|auto_generated|counter_comb_bita4~COUT $ (\inst|inst4|inst115|auto_generated|safe_q [5]) +// \inst|inst4|inst16|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst14|dffs [3]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst19|dffs [3])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst14|dffs [3])))) - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\inst|inst4|inst115|auto_generated|safe_q [5]), - .cin(\inst|inst4|inst115|auto_generated|counter_comb_bita4~COUT ), - .combout(\inst|inst4|inst115|auto_generated|counter_comb_bita5~combout ), + .dataa(\inst|inst4|inst19|dffs [3]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst14|dffs [3]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst16|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst115|auto_generated|counter_comb_bita5 .lut_mask = 16'h0FF0; -defparam \inst|inst4|inst115|auto_generated|counter_comb_bita5 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst16|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hF0B8; +defparam \inst|inst4|inst16|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y18_N26 -cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|counter_reg_bit1a[5]~0 ( +// Location: LCCOMB_X33_Y13_N18 +cycloneii_lcell_comb \inst|inst4|inst17|dffs[3]~feeder ( // Equation(s): -// \inst|inst4|inst115|auto_generated|counter_reg_bit1a[5]~0_combout = (!\inst|inst11|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst115|auto_generated|safe_q [5]) # (\inst|inst4|inst109~combout ))) +// \inst|inst4|inst17|dffs[3]~feeder_combout = \inst|inst4|inst16|$00000|auto_generated|result_node[3]~1_combout - .dataa(\inst|inst4|inst115|auto_generated|safe_q [5]), - .datab(\inst|inst11|auto_generated|aneb_result_wire [0]), + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst109~combout ), + .datad(\inst|inst4|inst16|$00000|auto_generated|result_node[3]~1_combout ), .cin(gnd), - .combout(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[5]~0_combout ), + .combout(\inst|inst4|inst17|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[5]~0 .lut_mask = 16'h3322; -defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[5]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst17|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst17|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y18_N11 -cycloneii_lcell_ff \inst|inst4|inst115|auto_generated|counter_reg_bit1a[5] ( +// Location: LCFF_X33_Y13_N19 +cycloneii_lcell_ff \inst|inst4|inst17|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst115|auto_generated|counter_comb_bita5~combout ), - .sdata(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[5]~0_combout ), - .aclr(gnd), + .datain(\inst|inst4|inst17|dffs[3]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1_combout ), - .ena(\inst|inst4|inst115|auto_generated|_~0_combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst43~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst115|auto_generated|safe_q [5])); + .regout(\inst|inst4|inst17|dffs [3])); -// Location: LCCOMB_X24_Y18_N16 -cycloneii_lcell_comb \inst|inst4|inst119|auto_generated|aneb_result_wire[0] ( +// Location: LCCOMB_X33_Y15_N30 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~20 ( // Equation(s): -// \inst|inst4|inst119|auto_generated|aneb_result_wire [0] = (((!\inst|inst4|inst115|auto_generated|safe_q [5]) # (!\inst|inst4|inst115|auto_generated|safe_q [2])) # (!\inst|inst4|inst115|auto_generated|safe_q [4])) # -// (!\inst|inst4|inst119|auto_generated|aneb_result_wire[0]~0_combout ) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~20_combout = (!\addr~combout [2] & ((\addr~combout [0] & (\inst|inst4|inst19|dffs [3])) # (!\addr~combout [0] & ((\inst|inst4|inst17|dffs [3]))))) - .dataa(\inst|inst4|inst119|auto_generated|aneb_result_wire[0]~0_combout ), - .datab(\inst|inst4|inst115|auto_generated|safe_q [4]), - .datac(\inst|inst4|inst115|auto_generated|safe_q [2]), - .datad(\inst|inst4|inst115|auto_generated|safe_q [5]), + .dataa(\inst|inst4|inst19|dffs [3]), + .datab(\inst|inst4|inst17|dffs [3]), + .datac(\addr~combout [2]), + .datad(\addr~combout [0]), .cin(gnd), - .combout(\inst|inst4|inst119|auto_generated|aneb_result_wire [0]), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~20_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst119|auto_generated|aneb_result_wire[0] .lut_mask = 16'h7FFF; -defparam \inst|inst4|inst119|auto_generated|aneb_result_wire[0] .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~20 .lut_mask = 16'h0A0C; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~20 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X23_Y17_N8 -cycloneii_lcell_comb \inst|inst4|inst122~0 ( +// Location: LCCOMB_X33_Y15_N18 +cycloneii_lcell_comb \inst|inst4|inst26|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst122~0_combout = (\mode~combout [1] & (((!\mode~combout [0] & !\inst|inst4|inst120|auto_generated|aneb_result_wire [0])))) # (!\mode~combout [1] & (((!\mode~combout [0])) # (!\inst|inst4|inst119|auto_generated|aneb_result_wire [0]))) +// \inst|inst4|inst26|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst25|dffs [3])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst28|dffs [3]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst25|dffs [3])) - .dataa(\mode~combout [1]), - .datab(\inst|inst4|inst119|auto_generated|aneb_result_wire [0]), - .datac(\mode~combout [0]), - .datad(\inst|inst4|inst120|auto_generated|aneb_result_wire [0]), + .dataa(\inst|inst4|inst25|dffs [3]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst28|dffs [3]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst122~0_combout ), + .combout(\inst|inst4|inst26|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst122~0 .lut_mask = 16'h151F; -defparam \inst|inst4|inst122~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst26|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hAAE2; +defparam \inst|inst4|inst26|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X36_Y17_N6 -cycloneii_lcell_comb \inst|inst4|inst124|inst~2 ( +// Location: LCCOMB_X32_Y14_N20 +cycloneii_lcell_comb \inst|inst4|inst27|dffs[3]~feeder ( // Equation(s): -// \inst|inst4|inst124|inst~2_combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & (!\inst|inst4|inst122~0_combout & (!\inst|inst|auto_generated|safe_q [0] & !\inst|inst1|auto_generated|aneb_result_wire[0]~5_combout ))) +// \inst|inst4|inst27|dffs[3]~feeder_combout = \inst|inst4|inst26|$00000|auto_generated|result_node[3]~1_combout - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst|auto_generated|safe_q [0]), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~5_combout ), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst26|$00000|auto_generated|result_node[3]~1_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst~2_combout ), + .combout(\inst|inst4|inst27|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst~2 .lut_mask = 16'h0001; -defparam \inst|inst4|inst124|inst~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst27|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst27|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y18_N28 -cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|_~0 ( +// Location: LCCOMB_X20_Y13_N2 +cycloneii_lcell_comb \inst|inst4|inst124|inst93~0 ( // Equation(s): -// \inst|inst4|inst115|auto_generated|_~0_combout = (\inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1_combout ) # ((\inst|inst4|inst124|inst~2_combout & ((\inst|inst4|inst107~combout ) # (\inst|inst4|inst108~combout )))) +// \inst|inst4|inst124|inst93~0_combout = (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst107~0_combout ) # ((\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [13] & \inst|inst4|inst124|inst84~0_combout )))) - .dataa(\inst|inst4|inst107~combout ), - .datab(\inst|inst4|inst108~combout ), - .datac(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1_combout ), - .datad(\inst|inst4|inst124|inst~2_combout ), + .dataa(\inst|inst4|inst107~0_combout ), + .datab(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [13]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst124|inst84~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst115|auto_generated|_~0_combout ), + .combout(\inst|inst4|inst124|inst93~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst115|auto_generated|_~0 .lut_mask = 16'hFEF0; -defparam \inst|inst4|inst115|auto_generated|_~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst124|inst93~0 .lut_mask = 16'h0E0A; +defparam \inst|inst4|inst124|inst93~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y18_N1 -cycloneii_lcell_ff \inst|inst4|inst115|auto_generated|counter_reg_bit1a[0] ( +// Location: LCFF_X32_Y14_N21 +cycloneii_lcell_ff \inst|inst4|inst27|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst115|auto_generated|counter_comb_bita0~combout ), - .sdata(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[0]~6_combout ), - .aclr(gnd), + .datain(\inst|inst4|inst27|dffs[3]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1_combout ), - .ena(\inst|inst4|inst115|auto_generated|_~0_combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst93~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst115|auto_generated|safe_q [0])); + .regout(\inst|inst4|inst27|dffs [3])); -// Location: LCCOMB_X24_Y18_N12 -cycloneii_lcell_comb \inst|inst4|inst119|auto_generated|aneb_result_wire[0]~0 ( +// Location: LCCOMB_X33_Y15_N28 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~19 ( // Equation(s): -// \inst|inst4|inst119|auto_generated|aneb_result_wire[0]~0_combout = (!\inst|inst4|inst115|auto_generated|safe_q [3] & (!\inst|inst4|inst115|auto_generated|safe_q [0] & !\inst|inst4|inst115|auto_generated|safe_q [1])) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~19_combout = (\addr~combout [2] & ((\addr~combout [0] & ((\inst|inst4|inst27|dffs [3]))) # (!\addr~combout [0] & (\inst|inst4|inst25|dffs [3])))) - .dataa(\inst|inst4|inst115|auto_generated|safe_q [3]), - .datab(\inst|inst4|inst115|auto_generated|safe_q [0]), - .datac(vcc), - .datad(\inst|inst4|inst115|auto_generated|safe_q [1]), + .dataa(\inst|inst4|inst25|dffs [3]), + .datab(\addr~combout [0]), + .datac(\inst|inst4|inst27|dffs [3]), + .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst119|auto_generated|aneb_result_wire[0]~0_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~19_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst119|auto_generated|aneb_result_wire[0]~0 .lut_mask = 16'h0011; -defparam \inst|inst4|inst119|auto_generated|aneb_result_wire[0]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~19 .lut_mask = 16'hE200; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~19 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y18_N22 -cycloneii_lcell_comb \inst|inst4|inst120|auto_generated|aneb_result_wire[0] ( +// Location: LCCOMB_X33_Y15_N8 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~21 ( // Equation(s): -// \inst|inst4|inst120|auto_generated|aneb_result_wire [0] = ((\inst|inst4|inst115|auto_generated|safe_q [4]) # ((\inst|inst4|inst115|auto_generated|safe_q [2]) # (\inst|inst4|inst115|auto_generated|safe_q [5]))) # -// (!\inst|inst4|inst119|auto_generated|aneb_result_wire[0]~0_combout ) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~21_combout = (!\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~20_combout ) # +// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~19_combout ))) - .dataa(\inst|inst4|inst119|auto_generated|aneb_result_wire[0]~0_combout ), - .datab(\inst|inst4|inst115|auto_generated|safe_q [4]), - .datac(\inst|inst4|inst115|auto_generated|safe_q [2]), - .datad(\inst|inst4|inst115|auto_generated|safe_q [5]), + .dataa(\addr~combout [1]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~20_combout ), + .datac(vcc), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~19_combout ), .cin(gnd), - .combout(\inst|inst4|inst120|auto_generated|aneb_result_wire [0]), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~21_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst120|auto_generated|aneb_result_wire[0] .lut_mask = 16'hFFFD; -defparam \inst|inst4|inst120|auto_generated|aneb_result_wire[0] .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~21 .lut_mask = 16'h5544; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~21 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y15_N24 -cycloneii_lcell_comb \inst|inst4|inst8|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X33_Y15_N6 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~24 ( // Equation(s): -// \inst|inst4|inst8|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst11|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst7|dffs [5]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~24_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~21_combout ) # ((\addr~combout [1] & +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~23_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~22_combout )))) - .dataa(\inst|inst4|inst11|dffs [5]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst7|dffs [5]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~23_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~22_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~21_combout ), + .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst8|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~24_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst8|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst8|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~24 .lut_mask = 16'hFEF0; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~24 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y14_N10 -cycloneii_lcell_comb \~GND ( +// Location: LCCOMB_X26_Y12_N4 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~25 ( // Equation(s): -// \~GND~combout = GND +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~25_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~18_combout ) # +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~24_combout & \addr~combout [3])))) - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(vcc), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~18_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~24_combout ), + .datad(\addr~combout [3]), .cin(gnd), - .combout(\~GND~combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~25_combout ), .cout()); // synopsys translate_off -defparam \~GND .lut_mask = 16'h0000; -defparam \~GND .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~25 .lut_mask = 16'hC888; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~25 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: CLKCTRL_G8 -cycloneii_clkctrl \inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl ( - .ena(vcc), - .inclk({gnd,gnd,gnd,\inst|inst11|auto_generated|aneb_result_wire [0]}), - .clkselect(2'b00), - .devclrn(devclrn), - .devpor(devpor), - .outclk(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk )); +// Location: LCCOMB_X27_Y11_N18 +cycloneii_lcell_comb \inst|inst4|inst74|$00000|auto_generated|result_node[3]~1 ( +// Equation(s): +// \inst|inst4|inst74|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst73|dffs [3]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst77|dffs [3])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst73|dffs [3])))) + + .dataa(\inst|inst4|inst77|dffs [3]), + .datab(\inst|inst4|inst73|dffs [3]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst74|$00000|auto_generated|result_node[3]~1_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl .clock_type = "global clock"; -defparam \inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl .ena_register_mode = "none"; +defparam \inst|inst4|inst74|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hCCAC; +defparam \inst|inst4|inst74|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: PIN_L1, I/O Standard: 3.3-V LVTTL, Current Strength: Default -cycloneii_io \clk~I ( - .datain(gnd), - .oe(gnd), - .outclk(gnd), - .outclkena(vcc), - .inclk(gnd), - .inclkena(vcc), - .areset(gnd), - .sreset(gnd), - .differentialin(gnd), - .linkin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .devoe(devoe), - .combout(\clk~combout ), - .regout(), - .differentialout(), - .linkout(), - .padio(clk)); +// Location: LCCOMB_X24_Y10_N28 +cycloneii_lcell_comb \inst|inst4|inst75|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst75|dffs[3]~feeder_combout = \inst|inst4|inst74|$00000|auto_generated|result_node[3]~1_combout + + .dataa(vcc), + .datab(\inst|inst4|inst74|$00000|auto_generated|result_node[3]~1_combout ), + .datac(vcc), + .datad(vcc), + .cin(gnd), + .combout(\inst|inst4|inst75|dffs[3]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \clk~I .input_async_reset = "none"; -defparam \clk~I .input_power_up = "low"; -defparam \clk~I .input_register_mode = "none"; -defparam \clk~I .input_sync_reset = "none"; -defparam \clk~I .oe_async_reset = "none"; -defparam \clk~I .oe_power_up = "low"; -defparam \clk~I .oe_register_mode = "none"; -defparam \clk~I .oe_sync_reset = "none"; -defparam \clk~I .operation_mode = "input"; -defparam \clk~I .output_async_reset = "none"; -defparam \clk~I .output_power_up = "low"; -defparam \clk~I .output_register_mode = "none"; -defparam \clk~I .output_sync_reset = "none"; +defparam \inst|inst4|inst75|dffs[3]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst75|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: CLKCTRL_G2 -cycloneii_clkctrl \clk~clkctrl ( - .ena(vcc), - .inclk({gnd,gnd,gnd,\clk~combout }), - .clkselect(2'b00), +// Location: LCFF_X24_Y10_N29 +cycloneii_lcell_ff \inst|inst4|inst75|dffs[3] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst75|dffs[3]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst69~0_combout ), .devclrn(devclrn), .devpor(devpor), - .outclk(\clk~clkctrl_outclk )); + .regout(\inst|inst4|inst75|dffs [3])); + +// Location: LCCOMB_X24_Y10_N12 +cycloneii_lcell_comb \inst|inst4|inst72|$00000|auto_generated|result_node[3]~1 ( +// Equation(s): +// \inst|inst4|inst72|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst71|dffs [3])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst75|dffs [3]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst71|dffs [3])) + + .dataa(\inst|inst4|inst71|dffs [3]), + .datab(\inst|inst4|inst75|dffs [3]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst72|$00000|auto_generated|result_node[3]~1_combout ), + .cout()); // synopsys translate_off -defparam \clk~clkctrl .clock_type = "global clock"; -defparam \clk~clkctrl .ena_register_mode = "none"; +defparam \inst|inst4|inst72|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hAACA; +defparam \inst|inst4|inst72|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: PIN_L22, I/O Standard: 3.3-V LVTTL, Current Strength: Default -cycloneii_io \addr[0]~I ( - .datain(gnd), - .oe(gnd), - .outclk(gnd), - .outclkena(vcc), - .inclk(gnd), - .inclkena(vcc), - .areset(gnd), - .sreset(gnd), - .differentialin(gnd), - .linkin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .devoe(devoe), - .combout(\addr~combout [0]), - .regout(), - .differentialout(), - .linkout(), - .padio(addr[0])); +// Location: LCCOMB_X24_Y10_N22 +cycloneii_lcell_comb \inst|inst4|inst73|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst73|dffs[3]~feeder_combout = \inst|inst4|inst72|$00000|auto_generated|result_node[3]~1_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst72|$00000|auto_generated|result_node[3]~1_combout ), + .cin(gnd), + .combout(\inst|inst4|inst73|dffs[3]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \addr[0]~I .input_async_reset = "none"; -defparam \addr[0]~I .input_power_up = "low"; -defparam \addr[0]~I .input_register_mode = "none"; -defparam \addr[0]~I .input_sync_reset = "none"; -defparam \addr[0]~I .oe_async_reset = "none"; -defparam \addr[0]~I .oe_power_up = "low"; -defparam \addr[0]~I .oe_register_mode = "none"; -defparam \addr[0]~I .oe_sync_reset = "none"; -defparam \addr[0]~I .operation_mode = "input"; -defparam \addr[0]~I .output_async_reset = "none"; -defparam \addr[0]~I .output_power_up = "low"; -defparam \addr[0]~I .output_register_mode = "none"; -defparam \addr[0]~I .output_sync_reset = "none"; +defparam \inst|inst4|inst73|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst73|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: PIN_L21, I/O Standard: 3.3-V LVTTL, Current Strength: Default -cycloneii_io \addr[1]~I ( - .datain(gnd), - .oe(gnd), - .outclk(gnd), - .outclkena(vcc), - .inclk(gnd), - .inclkena(vcc), - .areset(gnd), - .sreset(gnd), - .differentialin(gnd), - .linkin(gnd), +// Location: LCFF_X24_Y10_N23 +cycloneii_lcell_ff \inst|inst4|inst73|dffs[3] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst73|dffs[3]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst70~0_combout ), .devclrn(devclrn), .devpor(devpor), - .devoe(devoe), - .combout(\addr~combout [1]), - .regout(), - .differentialout(), - .linkout(), - .padio(addr[1])); + .regout(\inst|inst4|inst73|dffs [3])); + +// Location: LCCOMB_X22_Y10_N6 +cycloneii_lcell_comb \inst|inst4|inst70|$00000|auto_generated|result_node[3]~1 ( +// Equation(s): +// \inst|inst4|inst70|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst68|dffs [3]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst73|dffs [3])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst68|dffs [3])))) + + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst73|dffs [3]), + .datac(\inst|inst4|inst68|dffs [3]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst70|$00000|auto_generated|result_node[3]~1_combout ), + .cout()); // synopsys translate_off -defparam \addr[1]~I .input_async_reset = "none"; -defparam \addr[1]~I .input_power_up = "low"; -defparam \addr[1]~I .input_register_mode = "none"; -defparam \addr[1]~I .input_sync_reset = "none"; -defparam \addr[1]~I .oe_async_reset = "none"; -defparam \addr[1]~I .oe_power_up = "low"; -defparam \addr[1]~I .oe_register_mode = "none"; -defparam \addr[1]~I .oe_sync_reset = "none"; -defparam \addr[1]~I .operation_mode = "input"; -defparam \addr[1]~I .output_async_reset = "none"; -defparam \addr[1]~I .output_power_up = "low"; -defparam \addr[1]~I .output_register_mode = "none"; -defparam \addr[1]~I .output_sync_reset = "none"; +defparam \inst|inst4|inst70|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst70|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: PIN_M22, I/O Standard: 3.3-V LVTTL, Current Strength: Default -cycloneii_io \addr[2]~I ( - .datain(gnd), - .oe(gnd), - .outclk(gnd), - .outclkena(vcc), - .inclk(gnd), - .inclkena(vcc), - .areset(gnd), - .sreset(gnd), - .differentialin(gnd), - .linkin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .devoe(devoe), - .combout(\addr~combout [2]), - .regout(), - .differentialout(), - .linkout(), - .padio(addr[2])); +// Location: LCCOMB_X22_Y10_N18 +cycloneii_lcell_comb \inst|inst4|inst71|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst71|dffs[3]~feeder_combout = \inst|inst4|inst70|$00000|auto_generated|result_node[3]~1_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst70|$00000|auto_generated|result_node[3]~1_combout ), + .cin(gnd), + .combout(\inst|inst4|inst71|dffs[3]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \addr[2]~I .input_async_reset = "none"; -defparam \addr[2]~I .input_power_up = "low"; -defparam \addr[2]~I .input_register_mode = "none"; -defparam \addr[2]~I .input_sync_reset = "none"; -defparam \addr[2]~I .oe_async_reset = "none"; -defparam \addr[2]~I .oe_power_up = "low"; -defparam \addr[2]~I .oe_register_mode = "none"; -defparam \addr[2]~I .oe_sync_reset = "none"; -defparam \addr[2]~I .operation_mode = "input"; -defparam \addr[2]~I .output_async_reset = "none"; -defparam \addr[2]~I .output_power_up = "low"; -defparam \addr[2]~I .output_register_mode = "none"; -defparam \addr[2]~I .output_sync_reset = "none"; +defparam \inst|inst4|inst71|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst71|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: PIN_V12, I/O Standard: 3.3-V LVTTL, Current Strength: Default -cycloneii_io \addr[3]~I ( - .datain(gnd), - .oe(gnd), - .outclk(gnd), - .outclkena(vcc), - .inclk(gnd), - .inclkena(vcc), - .areset(gnd), - .sreset(gnd), - .differentialin(gnd), - .linkin(gnd), +// Location: LCFF_X22_Y10_N19 +cycloneii_lcell_ff \inst|inst4|inst71|dffs[3] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst71|dffs[3]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst71~0_combout ), .devclrn(devclrn), .devpor(devpor), - .devoe(devoe), - .combout(\addr~combout [3]), - .regout(), - .differentialout(), - .linkout(), - .padio(addr[3])); + .regout(\inst|inst4|inst71|dffs [3])); + +// Location: LCCOMB_X22_Y11_N30 +cycloneii_lcell_comb \inst|inst4|inst69|$00000|auto_generated|result_node[3]~1 ( +// Equation(s): +// \inst|inst4|inst69|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst67|dffs [3]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst71|dffs [3])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst67|dffs [3])))) + + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst71|dffs [3]), + .datac(\inst|inst4|inst67|dffs [3]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst69|$00000|auto_generated|result_node[3]~1_combout ), + .cout()); // synopsys translate_off -defparam \addr[3]~I .input_async_reset = "none"; -defparam \addr[3]~I .input_power_up = "low"; -defparam \addr[3]~I .input_register_mode = "none"; -defparam \addr[3]~I .input_sync_reset = "none"; -defparam \addr[3]~I .oe_async_reset = "none"; -defparam \addr[3]~I .oe_power_up = "low"; -defparam \addr[3]~I .oe_register_mode = "none"; -defparam \addr[3]~I .oe_sync_reset = "none"; -defparam \addr[3]~I .operation_mode = "input"; -defparam \addr[3]~I .output_async_reset = "none"; -defparam \addr[3]~I .output_power_up = "low"; -defparam \addr[3]~I .output_register_mode = "none"; -defparam \addr[3]~I .output_sync_reset = "none"; +defparam \inst|inst4|inst69|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst69|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: PIN_W12, I/O Standard: 3.3-V LVTTL, Current Strength: Default -cycloneii_io \addr[4]~I ( - .datain(gnd), - .oe(gnd), - .outclk(gnd), - .outclkena(vcc), - .inclk(gnd), - .inclkena(vcc), - .areset(gnd), - .sreset(gnd), - .differentialin(gnd), - .linkin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .devoe(devoe), - .combout(\addr~combout [4]), - .regout(), - .differentialout(), - .linkout(), - .padio(addr[4])); +// Location: LCCOMB_X22_Y11_N2 +cycloneii_lcell_comb \inst|inst4|inst68|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst68|dffs[3]~feeder_combout = \inst|inst4|inst69|$00000|auto_generated|result_node[3]~1_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst69|$00000|auto_generated|result_node[3]~1_combout ), + .cin(gnd), + .combout(\inst|inst4|inst68|dffs[3]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \addr[4]~I .input_async_reset = "none"; -defparam \addr[4]~I .input_power_up = "low"; -defparam \addr[4]~I .input_register_mode = "none"; -defparam \addr[4]~I .input_sync_reset = "none"; -defparam \addr[4]~I .oe_async_reset = "none"; -defparam \addr[4]~I .oe_power_up = "low"; -defparam \addr[4]~I .oe_register_mode = "none"; -defparam \addr[4]~I .oe_sync_reset = "none"; -defparam \addr[4]~I .operation_mode = "input"; -defparam \addr[4]~I .output_async_reset = "none"; -defparam \addr[4]~I .output_power_up = "low"; -defparam \addr[4]~I .output_register_mode = "none"; -defparam \addr[4]~I .output_sync_reset = "none"; +defparam \inst|inst4|inst68|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst68|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: PIN_U12, I/O Standard: 3.3-V LVTTL, Current Strength: Default -cycloneii_io \addr[5]~I ( - .datain(gnd), - .oe(gnd), - .outclk(gnd), - .outclkena(vcc), - .inclk(gnd), - .inclkena(vcc), - .areset(gnd), - .sreset(gnd), - .differentialin(gnd), - .linkin(gnd), +// Location: LCFF_X22_Y11_N3 +cycloneii_lcell_ff \inst|inst4|inst68|dffs[3] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst68|dffs[3]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst72~0_combout ), .devclrn(devclrn), .devpor(devpor), - .devoe(devoe), - .combout(\addr~combout [5]), - .regout(), - .differentialout(), - .linkout(), - .padio(addr[5])); + .regout(\inst|inst4|inst68|dffs [3])); + +// Location: LCCOMB_X22_Y11_N0 +cycloneii_lcell_comb \inst|inst4|inst66|$00000|auto_generated|result_node[3]~1 ( +// Equation(s): +// \inst|inst4|inst66|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst65|dffs [3])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst68|dffs [3])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst65|dffs [3]))))) + + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst68|dffs [3]), + .datac(\inst|inst4|inst65|dffs [3]), + .datad(\inst|inst4|inst108~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst66|$00000|auto_generated|result_node[3]~1_combout ), + .cout()); // synopsys translate_off -defparam \addr[5]~I .input_async_reset = "none"; -defparam \addr[5]~I .input_power_up = "low"; -defparam \addr[5]~I .input_register_mode = "none"; -defparam \addr[5]~I .input_sync_reset = "none"; -defparam \addr[5]~I .oe_async_reset = "none"; -defparam \addr[5]~I .oe_power_up = "low"; -defparam \addr[5]~I .oe_register_mode = "none"; -defparam \addr[5]~I .oe_sync_reset = "none"; -defparam \addr[5]~I .operation_mode = "input"; -defparam \addr[5]~I .output_async_reset = "none"; -defparam \addr[5]~I .output_power_up = "low"; -defparam \addr[5]~I .output_register_mode = "none"; -defparam \addr[5]~I .output_sync_reset = "none"; +defparam \inst|inst4|inst66|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hE4F0; +defparam \inst|inst4|inst66|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y15_N4 -cycloneii_lcell_comb \inst|inst4|inst124|inst4 ( +// Location: LCCOMB_X22_Y11_N20 +cycloneii_lcell_comb \inst|inst4|inst67|dffs[3]~feeder ( // Equation(s): -// \inst|inst4|inst124|inst4~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & -// \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [47]))) +// \inst|inst4|inst67|dffs[3]~feeder_combout = \inst|inst4|inst66|$00000|auto_generated|result_node[3]~1_combout - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [47]), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst66|$00000|auto_generated|result_node[3]~1_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst4~combout ), + .combout(\inst|inst4|inst67|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst4 .lut_mask = 16'h0100; -defparam \inst|inst4|inst124|inst4 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst67|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst67|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X32_Y15_N25 -cycloneii_lcell_ff \inst|inst4|inst9|dffs[5] ( +// Location: LCFF_X22_Y11_N21 +cycloneii_lcell_ff \inst|inst4|inst67|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst8|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst67|dffs[3]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst4~combout ), + .ena(\inst|inst4|inst124|inst73~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst9|dffs [5])); + .regout(\inst|inst4|inst67|dffs [3])); -// Location: LCCOMB_X34_Y14_N30 -cycloneii_lcell_comb \inst|inst4|inst1|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X22_Y12_N8 +cycloneii_lcell_comb \inst|inst4|inst64|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst4|inst1|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst2|dffs [5] & \inst|inst4|inst108~combout ) +// \inst|inst4|inst64|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst63|dffs [3])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst67|dffs [3])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst63|dffs [3]))))) - .dataa(\inst|inst4|inst2|dffs [5]), - .datab(vcc), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst67|dffs [3]), + .datad(\inst|inst4|inst63|dffs [3]), .cin(gnd), - .combout(\inst|inst4|inst1|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst64|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst1|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hAA00; -defparam \inst|inst4|inst1|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst64|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hFB40; +defparam \inst|inst4|inst64|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y14_N20 -cycloneii_lcell_comb \inst|inst4|inst124|inst ( +// Location: LCCOMB_X22_Y12_N20 +cycloneii_lcell_comb \inst|inst4|inst65|dffs[3]~feeder ( // Equation(s): -// \inst|inst4|inst124|inst~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & -// \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [51]))) +// \inst|inst4|inst65|dffs[3]~feeder_combout = \inst|inst4|inst64|$00000|auto_generated|result_node[3]~1_combout - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [51]), + .dataa(vcc), + .datab(\inst|inst4|inst64|$00000|auto_generated|result_node[3]~1_combout ), + .datac(vcc), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst124|inst~combout ), + .combout(\inst|inst4|inst65|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst .lut_mask = 16'h0100; -defparam \inst|inst4|inst124|inst .sum_lutc_input = "datac"; +defparam \inst|inst4|inst65|dffs[3]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst65|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X34_Y14_N31 -cycloneii_lcell_ff \inst|inst4|inst|dffs[5] ( +// Location: LCFF_X22_Y12_N21 +cycloneii_lcell_ff \inst|inst4|inst65|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst1|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst65|dffs[3]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst~combout ), + .ena(\inst|inst4|inst124|inst74~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst|dffs [5])); + .regout(\inst|inst4|inst65|dffs [3])); -// Location: LCCOMB_X33_Y14_N30 -cycloneii_lcell_comb \inst|inst4|inst3|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X22_Y11_N8 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~50 ( // Equation(s): -// \inst|inst4|inst3|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst5|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst|dffs [5]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~50_combout = (\addr~combout [1] & (((\addr~combout [0])))) # (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst67|dffs [3])) # (!\addr~combout [0] & ((\inst|inst4|inst65|dffs [3]))))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst5|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst|dffs [5]), + .dataa(\inst|inst4|inst67|dffs [3]), + .datab(\inst|inst4|inst65|dffs [3]), + .datac(\addr~combout [1]), + .datad(\addr~combout [0]), .cin(gnd), - .combout(\inst|inst4|inst3|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~50_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst3|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst3|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~50 .lut_mask = 16'hFA0C; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~50 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X37_Y16_N22 -cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita5 ( +// Location: LCCOMB_X22_Y11_N10 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~51 ( // Equation(s): -// \inst|inst|auto_generated|counter_comb_bita5~combout = (\inst|inst|auto_generated|safe_q [5] & (!\inst|inst|auto_generated|counter_comb_bita4~COUT )) # (!\inst|inst|auto_generated|safe_q [5] & ((\inst|inst|auto_generated|counter_comb_bita4~COUT ) # -// (GND))) -// \inst|inst|auto_generated|counter_comb_bita5~COUT = CARRY((!\inst|inst|auto_generated|counter_comb_bita4~COUT ) # (!\inst|inst|auto_generated|safe_q [5])) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~51_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~50_combout & (((\inst|inst4|inst71|dffs [3]) # (!\addr~combout [1])))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~50_combout & (\inst|inst4|inst68|dffs [3] & (\addr~combout [1]))) + + .dataa(\inst|inst4|inst68|dffs [3]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~50_combout ), + .datac(\addr~combout [1]), + .datad(\inst|inst4|inst71|dffs [3]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~51_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~51 .lut_mask = 16'hEC2C; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~51 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X26_Y12_N14 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~52 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~52_combout = (\addr~combout [5] & ((\addr~combout [4]) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~51_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout )))) + + .dataa(\addr~combout [5]), + .datab(\addr~combout [4]), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~51_combout ), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout ), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~52_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~52 .lut_mask = 16'hA888; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~52 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X27_Y11_N24 +cycloneii_lcell_comb \inst|inst4|inst83|$00000|auto_generated|result_node[3]~1 ( +// Equation(s): +// \inst|inst4|inst83|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst81|dffs [3])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst85|dffs [3]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst81|dffs [3])) + + .dataa(\inst|inst4|inst81|dffs [3]), + .datab(\inst|inst4|inst85|dffs [3]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst83|$00000|auto_generated|result_node[3]~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst83|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hAACA; +defparam \inst|inst4|inst83|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X26_Y11_N4 +cycloneii_lcell_comb \inst|inst4|inst82|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst82|dffs[3]~feeder_combout = \inst|inst4|inst83|$00000|auto_generated|result_node[3]~1_combout .dataa(vcc), - .datab(\inst|inst|auto_generated|safe_q [5]), + .datab(vcc), .datac(vcc), - .datad(vcc), - .cin(\inst|inst|auto_generated|counter_comb_bita4~COUT ), - .combout(\inst|inst|auto_generated|counter_comb_bita5~combout ), - .cout(\inst|inst|auto_generated|counter_comb_bita5~COUT )); + .datad(\inst|inst4|inst83|$00000|auto_generated|result_node[3]~1_combout ), + .cin(gnd), + .combout(\inst|inst4|inst82|dffs[3]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst|auto_generated|counter_comb_bita5 .lut_mask = 16'h3C3F; -defparam \inst|inst|auto_generated|counter_comb_bita5 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst82|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst82|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X37_Y16_N23 -cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[5] ( +// Location: LCFF_X26_Y11_N5 +cycloneii_lcell_ff \inst|inst4|inst82|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst|auto_generated|counter_comb_bita5~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), + .datain(\inst|inst4|inst82|dffs[3]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(!\button~combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst65~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst|auto_generated|safe_q [5])); + .regout(\inst|inst4|inst82|dffs [3])); -// Location: LCCOMB_X37_Y16_N24 -cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita6 ( +// Location: LCCOMB_X27_Y11_N22 +cycloneii_lcell_comb \inst|inst4|inst86|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst|auto_generated|counter_comb_bita6~combout = (\inst|inst|auto_generated|safe_q [6] & (\inst|inst|auto_generated|counter_comb_bita5~COUT $ (GND))) # (!\inst|inst|auto_generated|safe_q [6] & (!\inst|inst|auto_generated|counter_comb_bita5~COUT & -// VCC)) -// \inst|inst|auto_generated|counter_comb_bita6~COUT = CARRY((\inst|inst|auto_generated|safe_q [6] & !\inst|inst|auto_generated|counter_comb_bita5~COUT )) +// \inst|inst4|inst86|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst85|dffs [3]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst89|dffs [3])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst85|dffs [3])))) - .dataa(\inst|inst|auto_generated|safe_q [6]), - .datab(vcc), - .datac(vcc), - .datad(vcc), - .cin(\inst|inst|auto_generated|counter_comb_bita5~COUT ), - .combout(\inst|inst|auto_generated|counter_comb_bita6~combout ), - .cout(\inst|inst|auto_generated|counter_comb_bita6~COUT )); + .dataa(\inst|inst4|inst89|dffs [3]), + .datab(\inst|inst4|inst85|dffs [3]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst86|$00000|auto_generated|result_node[3]~1_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst|auto_generated|counter_comb_bita6 .lut_mask = 16'hA50A; -defparam \inst|inst|auto_generated|counter_comb_bita6 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst86|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hCCAC; +defparam \inst|inst4|inst86|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X37_Y16_N26 -cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita7 ( +// Location: LCCOMB_X27_Y11_N0 +cycloneii_lcell_comb \inst|inst4|inst87|dffs[3]~feeder ( // Equation(s): -// \inst|inst|auto_generated|counter_comb_bita7~combout = (\inst|inst|auto_generated|safe_q [7] & (!\inst|inst|auto_generated|counter_comb_bita6~COUT )) # (!\inst|inst|auto_generated|safe_q [7] & ((\inst|inst|auto_generated|counter_comb_bita6~COUT ) # -// (GND))) -// \inst|inst|auto_generated|counter_comb_bita7~COUT = CARRY((!\inst|inst|auto_generated|counter_comb_bita6~COUT ) # (!\inst|inst|auto_generated|safe_q [7])) +// \inst|inst4|inst87|dffs[3]~feeder_combout = \inst|inst4|inst86|$00000|auto_generated|result_node[3]~1_combout .dataa(vcc), - .datab(\inst|inst|auto_generated|safe_q [7]), + .datab(vcc), .datac(vcc), - .datad(vcc), - .cin(\inst|inst|auto_generated|counter_comb_bita6~COUT ), - .combout(\inst|inst|auto_generated|counter_comb_bita7~combout ), - .cout(\inst|inst|auto_generated|counter_comb_bita7~COUT )); + .datad(\inst|inst4|inst86|$00000|auto_generated|result_node[3]~1_combout ), + .cin(gnd), + .combout(\inst|inst4|inst87|dffs[3]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst|auto_generated|counter_comb_bita7 .lut_mask = 16'h3C3F; -defparam \inst|inst|auto_generated|counter_comb_bita7 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst87|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst87|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X37_Y16_N27 -cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[7] ( +// Location: LCFF_X27_Y11_N1 +cycloneii_lcell_ff \inst|inst4|inst87|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst|auto_generated|counter_comb_bita7~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), + .datain(\inst|inst4|inst87|dffs[3]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(!\button~combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst63~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst|auto_generated|safe_q [7])); + .regout(\inst|inst4|inst87|dffs [3])); -// Location: LCCOMB_X37_Y16_N28 -cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita8 ( +// Location: LCCOMB_X27_Y11_N10 +cycloneii_lcell_comb \inst|inst4|inst84|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst|auto_generated|counter_comb_bita8~combout = (\inst|inst|auto_generated|safe_q [8] & (\inst|inst|auto_generated|counter_comb_bita7~COUT $ (GND))) # (!\inst|inst|auto_generated|safe_q [8] & (!\inst|inst|auto_generated|counter_comb_bita7~COUT & -// VCC)) -// \inst|inst|auto_generated|counter_comb_bita8~COUT = CARRY((\inst|inst|auto_generated|safe_q [8] & !\inst|inst|auto_generated|counter_comb_bita7~COUT )) +// \inst|inst4|inst84|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst82|dffs [3])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst87|dffs [3]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst82|dffs [3])))) + + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst82|dffs [3]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst87|dffs [3]), + .cin(gnd), + .combout(\inst|inst4|inst84|$00000|auto_generated|result_node[3]~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst84|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hDC8C; +defparam \inst|inst4|inst84|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X27_Y11_N26 +cycloneii_lcell_comb \inst|inst4|inst85|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst85|dffs[3]~feeder_combout = \inst|inst4|inst84|$00000|auto_generated|result_node[3]~1_combout .dataa(vcc), - .datab(\inst|inst|auto_generated|safe_q [8]), + .datab(vcc), .datac(vcc), - .datad(vcc), - .cin(\inst|inst|auto_generated|counter_comb_bita7~COUT ), - .combout(\inst|inst|auto_generated|counter_comb_bita8~combout ), - .cout(\inst|inst|auto_generated|counter_comb_bita8~COUT )); + .datad(\inst|inst4|inst84|$00000|auto_generated|result_node[3]~1_combout ), + .cin(gnd), + .combout(\inst|inst4|inst85|dffs[3]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst|auto_generated|counter_comb_bita8 .lut_mask = 16'hC30C; -defparam \inst|inst|auto_generated|counter_comb_bita8 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst85|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst85|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X37_Y16_N29 -cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[8] ( +// Location: LCFF_X27_Y11_N27 +cycloneii_lcell_ff \inst|inst4|inst85|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst|auto_generated|counter_comb_bita8~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), + .datain(\inst|inst4|inst85|dffs[3]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(!\button~combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst64~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst|auto_generated|safe_q [8])); + .regout(\inst|inst4|inst85|dffs [3])); -// Location: LCCOMB_X37_Y16_N30 -cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita9 ( +// Location: LCCOMB_X27_Y11_N30 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~57 ( // Equation(s): -// \inst|inst|auto_generated|counter_comb_bita9~combout = (\inst|inst|auto_generated|safe_q [9] & (!\inst|inst|auto_generated|counter_comb_bita8~COUT )) # (!\inst|inst|auto_generated|safe_q [9] & ((\inst|inst|auto_generated|counter_comb_bita8~COUT ) # -// (GND))) -// \inst|inst|auto_generated|counter_comb_bita9~COUT = CARRY((!\inst|inst|auto_generated|counter_comb_bita8~COUT ) # (!\inst|inst|auto_generated|safe_q [9])) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~57_combout = (!\addr~combout [0] & ((\addr~combout [1] & ((\inst|inst4|inst85|dffs [3]))) # (!\addr~combout [1] & (\inst|inst4|inst81|dffs [3])))) + + .dataa(\inst|inst4|inst81|dffs [3]), + .datab(\addr~combout [0]), + .datac(\inst|inst4|inst85|dffs [3]), + .datad(\addr~combout [1]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~57_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~57 .lut_mask = 16'h3022; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~57 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y11_N28 +cycloneii_lcell_comb \inst|inst4|inst88|$00000|auto_generated|result_node[3]~1 ( +// Equation(s): +// \inst|inst4|inst88|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst87|dffs [3]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst91|dffs [3])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst87|dffs [3])))) + + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst91|dffs [3]), + .datac(\inst|inst4|inst87|dffs [3]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst88|$00000|auto_generated|result_node[3]~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst88|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst88|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y11_N10 +cycloneii_lcell_comb \inst|inst4|inst89|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst89|dffs[3]~feeder_combout = \inst|inst4|inst88|$00000|auto_generated|result_node[3]~1_combout .dataa(vcc), - .datab(\inst|inst|auto_generated|safe_q [9]), - .datac(vcc), - .datad(vcc), - .cin(\inst|inst|auto_generated|counter_comb_bita8~COUT ), - .combout(\inst|inst|auto_generated|counter_comb_bita9~combout ), - .cout(\inst|inst|auto_generated|counter_comb_bita9~COUT )); + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst88|$00000|auto_generated|result_node[3]~1_combout ), + .cin(gnd), + .combout(\inst|inst4|inst89|dffs[3]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst|auto_generated|counter_comb_bita9 .lut_mask = 16'h3C3F; -defparam \inst|inst|auto_generated|counter_comb_bita9 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst89|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst89|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X37_Y16_N31 -cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[9] ( +// Location: LCFF_X29_Y11_N11 +cycloneii_lcell_ff \inst|inst4|inst89|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst|auto_generated|counter_comb_bita9~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), + .datain(\inst|inst4|inst89|dffs[3]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(!\button~combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst62~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst|auto_generated|safe_q [9])); + .regout(\inst|inst4|inst89|dffs [3])); -// Location: LCCOMB_X37_Y15_N0 -cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita10 ( +// Location: LCCOMB_X30_Y12_N28 +cycloneii_lcell_comb \inst|inst4|inst90|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst|auto_generated|counter_comb_bita10~combout = (\inst|inst|auto_generated|safe_q [10] & (\inst|inst|auto_generated|counter_comb_bita9~COUT $ (GND))) # (!\inst|inst|auto_generated|safe_q [10] & (!\inst|inst|auto_generated|counter_comb_bita9~COUT -// & VCC)) -// \inst|inst|auto_generated|counter_comb_bita10~COUT = CARRY((\inst|inst|auto_generated|safe_q [10] & !\inst|inst|auto_generated|counter_comb_bita9~COUT )) +// \inst|inst4|inst90|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst89|dffs [3]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst93|dffs [3])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst89|dffs [3])))) + + .dataa(\inst|inst4|inst93|dffs [3]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst89|dffs [3]), + .cin(gnd), + .combout(\inst|inst4|inst90|$00000|auto_generated|result_node[3]~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst90|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hFB08; +defparam \inst|inst4|inst90|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X29_Y10_N28 +cycloneii_lcell_comb \inst|inst4|inst91|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst91|dffs[3]~feeder_combout = \inst|inst4|inst90|$00000|auto_generated|result_node[3]~1_combout .dataa(vcc), - .datab(\inst|inst|auto_generated|safe_q [10]), + .datab(vcc), .datac(vcc), - .datad(vcc), - .cin(\inst|inst|auto_generated|counter_comb_bita9~COUT ), - .combout(\inst|inst|auto_generated|counter_comb_bita10~combout ), - .cout(\inst|inst|auto_generated|counter_comb_bita10~COUT )); + .datad(\inst|inst4|inst90|$00000|auto_generated|result_node[3]~1_combout ), + .cin(gnd), + .combout(\inst|inst4|inst91|dffs[3]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst|auto_generated|counter_comb_bita10 .lut_mask = 16'hC30C; -defparam \inst|inst|auto_generated|counter_comb_bita10 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst91|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst91|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X37_Y15_N1 -cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[10] ( +// Location: LCFF_X29_Y10_N29 +cycloneii_lcell_ff \inst|inst4|inst91|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst|auto_generated|counter_comb_bita10~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), + .datain(\inst|inst4|inst91|dffs[3]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(!\button~combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst61~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst|auto_generated|safe_q [10])); + .regout(\inst|inst4|inst91|dffs [3])); -// Location: LCCOMB_X37_Y15_N2 -cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita11 ( +// Location: LCCOMB_X30_Y13_N14 +cycloneii_lcell_comb \inst|inst4|inst97|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst|auto_generated|counter_comb_bita11~combout = (\inst|inst|auto_generated|safe_q [11] & (!\inst|inst|auto_generated|counter_comb_bita10~COUT )) # (!\inst|inst|auto_generated|safe_q [11] & ((\inst|inst|auto_generated|counter_comb_bita10~COUT ) # -// (GND))) -// \inst|inst|auto_generated|counter_comb_bita11~COUT = CARRY((!\inst|inst|auto_generated|counter_comb_bita10~COUT ) # (!\inst|inst|auto_generated|safe_q [11])) +// \inst|inst4|inst97|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst95|dffs [3])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst99|dffs [3])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst95|dffs [3]))))) + + .dataa(\inst|inst4|inst99|dffs [3]), + .datab(\inst|inst4|inst95|dffs [3]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst97|$00000|auto_generated|result_node[3]~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst97|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hCACC; +defparam \inst|inst4|inst97|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y13_N18 +cycloneii_lcell_comb \inst|inst4|inst96|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst96|dffs[3]~feeder_combout = \inst|inst4|inst97|$00000|auto_generated|result_node[3]~1_combout .dataa(vcc), - .datab(\inst|inst|auto_generated|safe_q [11]), + .datab(\inst|inst4|inst97|$00000|auto_generated|result_node[3]~1_combout ), .datac(vcc), .datad(vcc), - .cin(\inst|inst|auto_generated|counter_comb_bita10~COUT ), - .combout(\inst|inst|auto_generated|counter_comb_bita11~combout ), - .cout(\inst|inst|auto_generated|counter_comb_bita11~COUT )); + .cin(gnd), + .combout(\inst|inst4|inst96|dffs[3]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst|auto_generated|counter_comb_bita11 .lut_mask = 16'h3C3F; -defparam \inst|inst|auto_generated|counter_comb_bita11 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst96|dffs[3]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst96|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X37_Y15_N3 -cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[11] ( +// Location: LCFF_X30_Y13_N19 +cycloneii_lcell_ff \inst|inst4|inst96|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst|auto_generated|counter_comb_bita11~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), + .datain(\inst|inst4|inst96|dffs[3]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(!\button~combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst58~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst|auto_generated|safe_q [11])); + .regout(\inst|inst4|inst96|dffs [3])); -// Location: LCCOMB_X37_Y15_N4 -cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita12 ( +// Location: LCCOMB_X30_Y12_N18 +cycloneii_lcell_comb \inst|inst4|inst94|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst|auto_generated|counter_comb_bita12~combout = (\inst|inst|auto_generated|safe_q [12] & (\inst|inst|auto_generated|counter_comb_bita11~COUT $ (GND))) # (!\inst|inst|auto_generated|safe_q [12] & -// (!\inst|inst|auto_generated|counter_comb_bita11~COUT & VCC)) -// \inst|inst|auto_generated|counter_comb_bita12~COUT = CARRY((\inst|inst|auto_generated|safe_q [12] & !\inst|inst|auto_generated|counter_comb_bita11~COUT )) +// \inst|inst4|inst94|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst93|dffs [3])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst96|dffs [3]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst93|dffs [3])) + + .dataa(\inst|inst4|inst93|dffs [3]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst96|dffs [3]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst94|$00000|auto_generated|result_node[3]~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst94|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hAAE2; +defparam \inst|inst4|inst94|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y12_N0 +cycloneii_lcell_comb \inst|inst4|inst95|dffs[3]~feeder ( +// Equation(s): +// \inst|inst4|inst95|dffs[3]~feeder_combout = \inst|inst4|inst94|$00000|auto_generated|result_node[3]~1_combout .dataa(vcc), - .datab(\inst|inst|auto_generated|safe_q [12]), + .datab(vcc), .datac(vcc), - .datad(vcc), - .cin(\inst|inst|auto_generated|counter_comb_bita11~COUT ), - .combout(\inst|inst|auto_generated|counter_comb_bita12~combout ), - .cout(\inst|inst|auto_generated|counter_comb_bita12~COUT )); + .datad(\inst|inst4|inst94|$00000|auto_generated|result_node[3]~1_combout ), + .cin(gnd), + .combout(\inst|inst4|inst95|dffs[3]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst|auto_generated|counter_comb_bita12 .lut_mask = 16'hC30C; -defparam \inst|inst|auto_generated|counter_comb_bita12 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst95|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst95|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X37_Y15_N5 -cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[12] ( +// Location: LCFF_X30_Y12_N1 +cycloneii_lcell_ff \inst|inst4|inst95|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst|auto_generated|counter_comb_bita12~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), + .datain(\inst|inst4|inst95|dffs[3]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(!\button~combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst59~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst|auto_generated|safe_q [12])); + .regout(\inst|inst4|inst95|dffs [3])); -// Location: LCCOMB_X37_Y15_N26 -cycloneii_lcell_comb \inst|inst1|auto_generated|aneb_result_wire[0]~2 ( +// Location: LCCOMB_X30_Y12_N2 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~53 ( // Equation(s): -// \inst|inst1|auto_generated|aneb_result_wire[0]~2_combout = (\inst|inst|auto_generated|safe_q [9]) # (((\inst|inst|auto_generated|safe_q [12]) # (!\inst|inst|auto_generated|safe_q [10])) # (!\inst|inst|auto_generated|safe_q [11])) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~53_combout = (\addr~combout [0] & ((\addr~combout [1] & ((\inst|inst4|inst95|dffs [3]))) # (!\addr~combout [1] & (\inst|inst4|inst91|dffs [3])))) - .dataa(\inst|inst|auto_generated|safe_q [9]), - .datab(\inst|inst|auto_generated|safe_q [11]), - .datac(\inst|inst|auto_generated|safe_q [12]), - .datad(\inst|inst|auto_generated|safe_q [10]), + .dataa(\addr~combout [0]), + .datab(\inst|inst4|inst91|dffs [3]), + .datac(\addr~combout [1]), + .datad(\inst|inst4|inst95|dffs [3]), .cin(gnd), - .combout(\inst|inst1|auto_generated|aneb_result_wire[0]~2_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~53_combout ), .cout()); // synopsys translate_off -defparam \inst|inst1|auto_generated|aneb_result_wire[0]~2 .lut_mask = 16'hFBFF; -defparam \inst|inst1|auto_generated|aneb_result_wire[0]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~53 .lut_mask = 16'hA808; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~53 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X37_Y16_N25 -cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[6] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst|auto_generated|counter_comb_bita6~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), - .sclr(gnd), - .sload(gnd), - .ena(!\button~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst|auto_generated|safe_q [6])); - -// Location: LCCOMB_X37_Y16_N8 -cycloneii_lcell_comb \inst|inst1|auto_generated|aneb_result_wire[0]~3 ( +// Location: LCCOMB_X30_Y12_N30 +cycloneii_lcell_comb \inst|inst4|inst92|$00000|auto_generated|result_node[3]~1 ( // Equation(s): -// \inst|inst1|auto_generated|aneb_result_wire[0]~3_combout = ((\inst|inst|auto_generated|safe_q [5]) # ((\inst|inst|auto_generated|safe_q [6]) # (\inst|inst|auto_generated|safe_q [7]))) # (!\inst|inst|auto_generated|safe_q [8]) +// \inst|inst4|inst92|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst91|dffs [3])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst95|dffs [3])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst91|dffs [3]))))) - .dataa(\inst|inst|auto_generated|safe_q [8]), - .datab(\inst|inst|auto_generated|safe_q [5]), - .datac(\inst|inst|auto_generated|safe_q [6]), - .datad(\inst|inst|auto_generated|safe_q [7]), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst95|dffs [3]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst91|dffs [3]), .cin(gnd), - .combout(\inst|inst1|auto_generated|aneb_result_wire[0]~3_combout ), + .combout(\inst|inst4|inst92|$00000|auto_generated|result_node[3]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst1|auto_generated|aneb_result_wire[0]~3 .lut_mask = 16'hFFFD; -defparam \inst|inst1|auto_generated|aneb_result_wire[0]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst92|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hEF40; +defparam \inst|inst4|inst92|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X37_Y15_N8 -cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita14 ( +// Location: LCCOMB_X30_Y12_N10 +cycloneii_lcell_comb \inst|inst4|inst93|dffs[3]~feeder ( // Equation(s): -// \inst|inst|auto_generated|counter_comb_bita14~combout = (\inst|inst|auto_generated|safe_q [14] & (\inst|inst|auto_generated|counter_comb_bita13~COUT $ (GND))) # (!\inst|inst|auto_generated|safe_q [14] & -// (!\inst|inst|auto_generated|counter_comb_bita13~COUT & VCC)) -// \inst|inst|auto_generated|counter_comb_bita14~COUT = CARRY((\inst|inst|auto_generated|safe_q [14] & !\inst|inst|auto_generated|counter_comb_bita13~COUT )) +// \inst|inst4|inst93|dffs[3]~feeder_combout = \inst|inst4|inst92|$00000|auto_generated|result_node[3]~1_combout .dataa(vcc), - .datab(\inst|inst|auto_generated|safe_q [14]), + .datab(vcc), .datac(vcc), - .datad(vcc), - .cin(\inst|inst|auto_generated|counter_comb_bita13~COUT ), - .combout(\inst|inst|auto_generated|counter_comb_bita14~combout ), - .cout(\inst|inst|auto_generated|counter_comb_bita14~COUT )); + .datad(\inst|inst4|inst92|$00000|auto_generated|result_node[3]~1_combout ), + .cin(gnd), + .combout(\inst|inst4|inst93|dffs[3]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst|auto_generated|counter_comb_bita14 .lut_mask = 16'hC30C; -defparam \inst|inst|auto_generated|counter_comb_bita14 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst93|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst93|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X37_Y15_N9 -cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[14] ( +// Location: LCFF_X30_Y12_N11 +cycloneii_lcell_ff \inst|inst4|inst93|dffs[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst|auto_generated|counter_comb_bita14~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), + .datain(\inst|inst4|inst93|dffs[3]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(!\button~combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst60~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst|auto_generated|safe_q [14])); + .regout(\inst|inst4|inst93|dffs [3])); -// Location: LCCOMB_X37_Y15_N14 -cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita17 ( +// Location: LCCOMB_X30_Y12_N12 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~54 ( // Equation(s): -// \inst|inst|auto_generated|counter_comb_bita17~combout = (\inst|inst|auto_generated|safe_q [17] & (!\inst|inst|auto_generated|counter_comb_bita16~COUT )) # (!\inst|inst|auto_generated|safe_q [17] & ((\inst|inst|auto_generated|counter_comb_bita16~COUT ) # -// (GND))) -// \inst|inst|auto_generated|counter_comb_bita17~COUT = CARRY((!\inst|inst|auto_generated|counter_comb_bita16~COUT ) # (!\inst|inst|auto_generated|safe_q [17])) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~54_combout = (!\addr~combout [0] & ((\addr~combout [1] & ((\inst|inst4|inst93|dffs [3]))) # (!\addr~combout [1] & (\inst|inst4|inst89|dffs [3])))) - .dataa(vcc), - .datab(\inst|inst|auto_generated|safe_q [17]), + .dataa(\inst|inst4|inst89|dffs [3]), + .datab(\addr~combout [1]), + .datac(\addr~combout [0]), + .datad(\inst|inst4|inst93|dffs [3]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~54_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~54 .lut_mask = 16'h0E02; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~54 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X30_Y12_N6 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~55 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~55_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~53_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~54_combout ))) + + .dataa(\addr~combout [2]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~53_combout ), .datac(vcc), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~54_combout ), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~55_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~55 .lut_mask = 16'hAA88; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~55 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X26_Y12_N24 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~58 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~58_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~55_combout ) # ((!\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~56_combout ) # +// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~57_combout )))) + + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~56_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~57_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~55_combout ), + .datad(\addr~combout [2]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~58_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~58 .lut_mask = 16'hF0FE; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~58 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X26_Y12_N2 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~59 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~59_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~49_combout ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~52_combout ) # +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~58_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout ))) + + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~49_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~52_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~58_combout ), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout ), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~59_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~59 .lut_mask = 16'hFEEE; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~59 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X26_Y12_N20 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~25_combout ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~59_combout & +// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~153_combout )) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~59_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~33_combout )))) + + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~153_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~33_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~25_combout ), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~59_combout ), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34 .lut_mask = 16'hFAFC; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X27_Y19_N24 +cycloneii_lcell_comb \inst|inst5|inst|inst5|inst2~0 ( +// Equation(s): +// \inst|inst5|inst|inst5|inst2~0_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout & +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout )) + + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), .datad(vcc), - .cin(\inst|inst|auto_generated|counter_comb_bita16~COUT ), - .combout(\inst|inst|auto_generated|counter_comb_bita17~combout ), - .cout(\inst|inst|auto_generated|counter_comb_bita17~COUT )); + .cin(gnd), + .combout(\inst|inst5|inst|inst5|inst2~0_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst|auto_generated|counter_comb_bita17 .lut_mask = 16'h3C3F; -defparam \inst|inst|auto_generated|counter_comb_bita17 .sum_lutc_input = "cin"; +defparam \inst|inst5|inst|inst5|inst2~0 .lut_mask = 16'hECEC; +defparam \inst|inst5|inst|inst5|inst2~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X37_Y15_N15 -cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[17] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst|auto_generated|counter_comb_bita17~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), - .sclr(gnd), - .sload(gnd), - .ena(!\button~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst|auto_generated|safe_q [17])); +// Location: LCCOMB_X31_Y14_N2 +cycloneii_lcell_comb \inst|inst4|inst18|$00000|auto_generated|result_node[0]~5 ( +// Equation(s): +// \inst|inst4|inst18|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst17|dffs [0])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst21|dffs [0]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst17|dffs [0])) -// Location: LCCOMB_X37_Y15_N16 -cycloneii_lcell_comb \inst|inst|auto_generated|counter_comb_bita18 ( + .dataa(\inst|inst4|inst17|dffs [0]), + .datab(\inst|inst4|inst21|dffs [0]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst18|$00000|auto_generated|result_node[0]~5_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst18|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hAACA; +defparam \inst|inst4|inst18|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y12_N18 +cycloneii_lcell_comb \inst|inst4|inst19|dffs[0]~feeder ( // Equation(s): -// \inst|inst|auto_generated|counter_comb_bita18~combout = (\inst|inst|auto_generated|safe_q [18] & (\inst|inst|auto_generated|counter_comb_bita17~COUT $ (GND))) # (!\inst|inst|auto_generated|safe_q [18] & -// (!\inst|inst|auto_generated|counter_comb_bita17~COUT & VCC)) -// \inst|inst|auto_generated|counter_comb_bita18~COUT = CARRY((\inst|inst|auto_generated|safe_q [18] & !\inst|inst|auto_generated|counter_comb_bita17~COUT )) +// \inst|inst4|inst19|dffs[0]~feeder_combout = \inst|inst4|inst18|$00000|auto_generated|result_node[0]~5_combout - .dataa(\inst|inst|auto_generated|safe_q [18]), + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(vcc), - .cin(\inst|inst|auto_generated|counter_comb_bita17~COUT ), - .combout(\inst|inst|auto_generated|counter_comb_bita18~combout ), - .cout(\inst|inst|auto_generated|counter_comb_bita18~COUT )); + .datad(\inst|inst4|inst18|$00000|auto_generated|result_node[0]~5_combout ), + .cin(gnd), + .combout(\inst|inst4|inst19|dffs[0]~feeder_combout ), + .cout()); // synopsys translate_off -defparam \inst|inst|auto_generated|counter_comb_bita18 .lut_mask = 16'hA50A; -defparam \inst|inst|auto_generated|counter_comb_bita18 .sum_lutc_input = "cin"; +defparam \inst|inst4|inst19|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst19|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X37_Y15_N17 -cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[18] ( +// Location: LCFF_X33_Y12_N19 +cycloneii_lcell_ff \inst|inst4|inst19|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst|auto_generated|counter_comb_bita18~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), + .datain(\inst|inst4|inst19|dffs[0]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(!\button~combout ), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst42~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst|auto_generated|safe_q [18])); + .regout(\inst|inst4|inst19|dffs [0])); -// Location: LCFF_X37_Y15_N19 -cycloneii_lcell_ff \inst|inst|auto_generated|counter_reg_bit1a[19] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst|auto_generated|counter_comb_bita19~combout ), - .sdata(gnd), - .aclr(!\rst~combout ), - .sclr(gnd), - .sload(gnd), - .ena(!\button~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst|auto_generated|safe_q [19])); +// Location: LCCOMB_X31_Y14_N0 +cycloneii_lcell_comb \inst|inst4|inst22|$00000|auto_generated|result_node[0]~5 ( +// Equation(s): +// \inst|inst4|inst22|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst21|dffs [0]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst25|dffs [0])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst21|dffs [0])))) -// Location: LCCOMB_X37_Y15_N30 -cycloneii_lcell_comb \inst|inst1|auto_generated|aneb_result_wire[0]~0 ( + .dataa(\inst|inst4|inst25|dffs [0]), + .datab(\inst|inst4|inst21|dffs [0]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst22|$00000|auto_generated|result_node[0]~5_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst22|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hCCAC; +defparam \inst|inst4|inst22|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X34_Y15_N22 +cycloneii_lcell_comb \inst|inst4|inst23|dffs[0]~feeder ( // Equation(s): -// \inst|inst1|auto_generated|aneb_result_wire[0]~0_combout = ((\inst|inst|auto_generated|safe_q [17]) # ((\inst|inst|auto_generated|safe_q [18]) # (\inst|inst|auto_generated|safe_q [19]))) # (!\inst|inst|auto_generated|safe_q [20]) +// \inst|inst4|inst23|dffs[0]~feeder_combout = \inst|inst4|inst22|$00000|auto_generated|result_node[0]~5_combout - .dataa(\inst|inst|auto_generated|safe_q [20]), - .datab(\inst|inst|auto_generated|safe_q [17]), - .datac(\inst|inst|auto_generated|safe_q [18]), - .datad(\inst|inst|auto_generated|safe_q [19]), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst22|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\inst|inst1|auto_generated|aneb_result_wire[0]~0_combout ), + .combout(\inst|inst4|inst23|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst1|auto_generated|aneb_result_wire[0]~0 .lut_mask = 16'hFFFD; -defparam \inst|inst1|auto_generated|aneb_result_wire[0]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst23|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst23|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X37_Y15_N28 -cycloneii_lcell_comb \inst|inst1|auto_generated|aneb_result_wire[0]~4 ( +// Location: LCFF_X34_Y15_N23 +cycloneii_lcell_ff \inst|inst4|inst23|dffs[0] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst23|dffs[0]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst40~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst23|dffs [0])); + +// Location: LCCOMB_X37_Y14_N20 +cycloneii_lcell_comb \inst|inst4|inst20|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst1|auto_generated|aneb_result_wire[0]~4_combout = (\inst|inst1|auto_generated|aneb_result_wire[0]~1_combout ) # ((\inst|inst1|auto_generated|aneb_result_wire[0]~2_combout ) # ((\inst|inst1|auto_generated|aneb_result_wire[0]~3_combout ) # -// (\inst|inst1|auto_generated|aneb_result_wire[0]~0_combout ))) +// \inst|inst4|inst20|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst19|dffs [0])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst23|dffs [0]))))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst19|dffs [0])))) - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~1_combout ), - .datab(\inst|inst1|auto_generated|aneb_result_wire[0]~2_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~3_combout ), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~0_combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst19|dffs [0]), + .datad(\inst|inst4|inst23|dffs [0]), .cin(gnd), - .combout(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .combout(\inst|inst4|inst20|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst1|auto_generated|aneb_result_wire[0]~4 .lut_mask = 16'hFFFE; -defparam \inst|inst1|auto_generated|aneb_result_wire[0]~4 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst20|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hF2D0; +defparam \inst|inst4|inst20|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y15_N20 -cycloneii_lcell_comb \inst|inst4|inst124|inst1 ( +// Location: LCCOMB_X32_Y13_N2 +cycloneii_lcell_comb \inst|inst4|inst21|dffs[0]~feeder ( // Equation(s): -// \inst|inst4|inst124|inst1~combout = (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & -// \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [50]))) +// \inst|inst4|inst21|dffs[0]~feeder_combout = \inst|inst4|inst20|$00000|auto_generated|result_node[0]~5_combout - .dataa(\inst|inst4|inst122~0_combout ), - .datab(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [50]), + .dataa(vcc), + .datab(\inst|inst4|inst20|$00000|auto_generated|result_node[0]~5_combout ), + .datac(vcc), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst124|inst1~combout ), + .combout(\inst|inst4|inst21|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst1 .lut_mask = 16'h0100; -defparam \inst|inst4|inst124|inst1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst21|dffs[0]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst21|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X33_Y14_N31 -cycloneii_lcell_ff \inst|inst4|inst2|dffs[5] ( +// Location: LCFF_X32_Y13_N3 +cycloneii_lcell_ff \inst|inst4|inst21|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst3|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst21|dffs[0]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst1~combout ), + .ena(\inst|inst4|inst124|inst41~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst2|dffs [5])); + .regout(\inst|inst4|inst21|dffs [0])); -// Location: LCCOMB_X33_Y14_N20 -cycloneii_lcell_comb \inst|inst4|inst4|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X31_Y14_N26 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~91 ( // Equation(s): -// \inst|inst4|inst4|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst7|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst2|dffs [5]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~91_combout = (!\addr~combout [0] & ((\addr~combout [1] & ((\inst|inst4|inst21|dffs [0]))) # (!\addr~combout [1] & (\inst|inst4|inst17|dffs [0])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst7|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst2|dffs [5]), + .dataa(\inst|inst4|inst17|dffs [0]), + .datab(\addr~combout [0]), + .datac(\inst|inst4|inst21|dffs [0]), + .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst4|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~91_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst4|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst4|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~91 .lut_mask = 16'h3022; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~91 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X37_Y17_N30 -cycloneii_lcell_comb \inst|inst4|inst124|inst2 ( +// Location: LCCOMB_X31_Y14_N28 +cycloneii_lcell_comb \inst|inst4|inst26|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst124|inst2~combout = (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [49] & (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & -// !\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ))) +// \inst|inst4|inst26|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst25|dffs [0])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst28|dffs [0]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst25|dffs [0])) - .dataa(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [49]), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .dataa(\inst|inst4|inst25|dffs [0]), + .datab(\inst|inst4|inst28|dffs [0]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst124|inst2~combout ), + .combout(\inst|inst4|inst26|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst2 .lut_mask = 16'h0002; -defparam \inst|inst4|inst124|inst2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst26|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hAACA; +defparam \inst|inst4|inst26|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X33_Y14_N21 -cycloneii_lcell_ff \inst|inst4|inst5|dffs[5] ( +// Location: LCCOMB_X32_Y14_N2 +cycloneii_lcell_comb \inst|inst4|inst27|dffs[0]~feeder ( +// Equation(s): +// \inst|inst4|inst27|dffs[0]~feeder_combout = \inst|inst4|inst26|$00000|auto_generated|result_node[0]~5_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst26|$00000|auto_generated|result_node[0]~5_combout ), + .cin(gnd), + .combout(\inst|inst4|inst27|dffs[0]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst27|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst27|dffs[0]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X32_Y14_N3 +cycloneii_lcell_ff \inst|inst4|inst27|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst4|$00000|auto_generated|result_node[5]~3_combout ), - .sdata(\~GND~combout ), + .datain(\inst|inst4|inst27|dffs[0]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst2~combout ), + .ena(\inst|inst4|inst124|inst93~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst5|dffs [5])); + .regout(\inst|inst4|inst27|dffs [0])); -// Location: LCCOMB_X33_Y15_N30 -cycloneii_lcell_comb \inst|inst4|inst6|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X31_Y14_N14 +cycloneii_lcell_comb \inst|inst4|inst29|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst6|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst9|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst5|dffs [5]))) +// \inst|inst4|inst29|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst27|dffs [0])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst31|dffs [0])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst27|dffs [0]))))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst9|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst5|dffs [5]), + .dataa(\inst|inst4|inst31|dffs [0]), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst27|dffs [0]), .cin(gnd), - .combout(\inst|inst4|inst6|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst29|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst6|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst6|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst29|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hEF20; +defparam \inst|inst4|inst29|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y15_N6 -cycloneii_lcell_comb \inst|inst4|inst124|inst3 ( +// Location: LCCOMB_X31_Y14_N8 +cycloneii_lcell_comb \inst|inst4|inst28|dffs[0]~feeder ( // Equation(s): -// \inst|inst4|inst124|inst3~combout = (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & -// \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [48]))) +// \inst|inst4|inst28|dffs[0]~feeder_combout = \inst|inst4|inst29|$00000|auto_generated|result_node[0]~5_combout - .dataa(\inst|inst4|inst122~0_combout ), - .datab(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [48]), + .dataa(vcc), + .datab(\inst|inst4|inst29|$00000|auto_generated|result_node[0]~5_combout ), + .datac(vcc), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst124|inst3~combout ), + .combout(\inst|inst4|inst28|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst3 .lut_mask = 16'h0100; -defparam \inst|inst4|inst124|inst3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst28|dffs[0]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst28|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X33_Y15_N31 -cycloneii_lcell_ff \inst|inst4|inst7|dffs[5] ( +// Location: LCFF_X31_Y14_N9 +cycloneii_lcell_ff \inst|inst4|inst28|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst6|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst28|dffs[0]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst3~combout ), + .ena(\inst|inst4|inst124|inst92~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst7|dffs [5])); + .regout(\inst|inst4|inst28|dffs [0])); -// Location: LCCOMB_X33_Y14_N28 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~85 ( +// Location: LCCOMB_X31_Y14_N22 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~88 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~85_combout = (\addr~combout [0] & (((\addr~combout [1]) # (\inst|inst4|inst2|dffs [5])))) # (!\addr~combout [0] & (\inst|inst4|inst|dffs [5] & (!\addr~combout [1]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~88_combout = (!\addr~combout [0] & ((\addr~combout [1] & ((\inst|inst4|inst28|dffs [0]))) # (!\addr~combout [1] & (\inst|inst4|inst25|dffs [0])))) - .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst|dffs [5]), - .datac(\addr~combout [1]), - .datad(\inst|inst4|inst2|dffs [5]), + .dataa(\inst|inst4|inst25|dffs [0]), + .datab(\addr~combout [0]), + .datac(\inst|inst4|inst28|dffs [0]), + .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~85_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~88_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~85 .lut_mask = 16'hAEA4; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~85 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~88 .lut_mask = 16'h3022; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~88 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y14_N22 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~86 ( +// Location: LCCOMB_X31_Y14_N24 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~89 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~86_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~85_combout & ((\inst|inst4|inst7|dffs [5]))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~85_combout & (\inst|inst4|inst5|dffs [5])))) # (!\addr~combout [1] & (((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~85_combout )))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~89_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~87_combout ) # +// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~88_combout ))) - .dataa(\inst|inst4|inst5|dffs [5]), - .datab(\inst|inst4|inst7|dffs [5]), - .datac(\addr~combout [1]), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~85_combout ), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~87_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~88_combout ), + .datac(vcc), + .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~86_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~89_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~86 .lut_mask = 16'hCFA0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~86 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~89 .lut_mask = 16'hEE00; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~89 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y16_N18 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~52 ( +// Location: LCCOMB_X31_Y14_N20 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~92 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~52_combout = (!\addr~combout [3] & ((\addr~combout [2] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~84_combout )) # (!\addr~combout [2] & -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~86_combout ))))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~92_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~89_combout ) # ((!\addr~combout [2] & +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~90_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~91_combout )))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~84_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~86_combout ), - .datac(\addr~combout [3]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~90_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~91_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~89_combout ), .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~52_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~92_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~52 .lut_mask = 16'h0A0C; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~52 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~92 .lut_mask = 16'hF0FE; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~92 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y16_N0 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0 ( +// Location: LCCOMB_X34_Y14_N22 +cycloneii_lcell_comb \inst|inst4|inst13|dffs[0]~feeder ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout = (!\addr~combout [5] & !\addr~combout [4]) +// \inst|inst4|inst13|dffs[0]~feeder_combout = \inst|inst4|inst12|$00000|auto_generated|result_node[0]~5_combout - .dataa(vcc), - .datab(\addr~combout [5]), - .datac(\addr~combout [4]), + .dataa(\inst|inst4|inst12|$00000|auto_generated|result_node[0]~5_combout ), + .datab(vcc), + .datac(vcc), .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout ), + .combout(\inst|inst4|inst13|dffs[0]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst13|dffs[0]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst13|dffs[0]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X34_Y14_N23 +cycloneii_lcell_ff \inst|inst4|inst13|dffs[0] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst13|dffs[0]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst45~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst13|dffs [0])); + +// Location: LCCOMB_X33_Y13_N4 +cycloneii_lcell_comb \inst|inst4|inst10|$00000|auto_generated|result_node[0]~5 ( +// Equation(s): +// \inst|inst4|inst10|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst9|dffs [0])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst13|dffs [0]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst9|dffs [0])))) + + .dataa(\inst|inst4|inst9|dffs [0]), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst13|dffs [0]), + .datad(\inst|inst4|inst108~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst10|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0 .lut_mask = 16'h0303; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst10|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hB8AA; +defparam \inst|inst4|inst10|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y16_N22 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~59 ( +// Location: LCCOMB_X33_Y13_N28 +cycloneii_lcell_comb \inst|inst4|inst11|dffs[0]~feeder ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~59_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~52_combout ) # -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~58_combout & \addr~combout [3])))) +// \inst|inst4|inst11|dffs[0]~feeder_combout = \inst|inst4|inst10|$00000|auto_generated|result_node[0]~5_combout - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~58_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~52_combout ), - .datac(\addr~combout [3]), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout ), + .dataa(vcc), + .datab(\inst|inst4|inst10|$00000|auto_generated|result_node[0]~5_combout ), + .datac(vcc), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~59_combout ), + .combout(\inst|inst4|inst11|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~59 .lut_mask = 16'hEC00; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~59 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst11|dffs[0]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst11|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y16_N0 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16 ( +// Location: LCFF_X33_Y13_N29 +cycloneii_lcell_ff \inst|inst4|inst11|dffs[0] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst11|dffs[0]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst46~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst11|dffs [0])); + +// Location: LCCOMB_X37_Y14_N30 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~129 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout = (!\addr~combout [3] & !\addr~combout [2]) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~129_combout = (\addr~combout [0] & (((\inst|inst4|inst11|dffs [0]) # (\addr~combout [1])))) # (!\addr~combout [0] & (\inst|inst4|inst9|dffs [0] & ((!\addr~combout [1])))) - .dataa(vcc), - .datab(vcc), - .datac(\addr~combout [3]), - .datad(\addr~combout [2]), + .dataa(\inst|inst4|inst9|dffs [0]), + .datab(\inst|inst4|inst11|dffs [0]), + .datac(\addr~combout [0]), + .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~129_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16 .lut_mask = 16'h000F; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~129 .lut_mask = 16'hF0CA; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~129 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y16_N26 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~98 ( +// Location: LCCOMB_X37_Y14_N0 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~130 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~98_combout = (\addr~combout [5] & ((\addr~combout [4]) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~97_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout )))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~130_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~129_combout & ((\inst|inst4|inst14|dffs [0]) # ((!\addr~combout [1])))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~129_combout & (((\inst|inst4|inst13|dffs [0] & \addr~combout [1])))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~97_combout ), - .datab(\addr~combout [4]), - .datac(\addr~combout [5]), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout ), + .dataa(\inst|inst4|inst14|dffs [0]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~129_combout ), + .datac(\inst|inst4|inst13|dffs [0]), + .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~98_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~130_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~98 .lut_mask = 16'hE0C0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~98 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~130 .lut_mask = 16'hB8CC; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~130 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y16_N24 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~95 ( +// Location: LCCOMB_X37_Y14_N22 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~86 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~95_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~94_combout & (!\addr~combout [3] & (\addr~combout [5] & \addr~combout [2]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~86_combout = (!\addr~combout [3] & ((\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~130_combout ))) # (!\addr~combout [2] & +// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~132_combout )))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~94_combout ), - .datab(\addr~combout [3]), - .datac(\addr~combout [5]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~132_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~130_combout ), + .datac(\addr~combout [3]), .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~95_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~86_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~95 .lut_mask = 16'h2000; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~95 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~86 .lut_mask = 16'h0C0A; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~86 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y16_N30 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35 ( +// Location: LCCOMB_X27_Y14_N2 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~93 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout = (\addr~combout [3] & \addr~combout [5]) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~93_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~86_combout ) # +// ((\addr~combout [3] & \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~92_combout )))) - .dataa(vcc), - .datab(vcc), - .datac(\addr~combout [3]), - .datad(\addr~combout [5]), + .dataa(\addr~combout [3]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~92_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~86_combout ), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~93_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35 .lut_mask = 16'hF000; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~93 .lut_mask = 16'hF800; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~93 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y16_N4 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~105 ( +// Location: LCCOMB_X30_Y14_N10 +cycloneii_lcell_comb \inst|inst4|inst39|dffs[0]~feeder ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~105_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~98_combout ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~95_combout ) # -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~104_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout ))) +// \inst|inst4|inst39|dffs[0]~feeder_combout = \inst|inst4|inst38|$00000|auto_generated|result_node[0]~5_combout - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~104_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~98_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~95_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout ), + .dataa(\inst|inst4|inst38|$00000|auto_generated|result_node[0]~5_combout ), + .datab(vcc), + .datac(vcc), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~105_combout ), + .combout(\inst|inst4|inst39|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~105 .lut_mask = 16'hFEFC; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~105 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst39|dffs[0]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst39|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y18_N16 -cycloneii_lcell_comb \inst|inst4|inst32|$00000|auto_generated|result_node[5]~3 ( +// Location: LCFF_X30_Y14_N11 +cycloneii_lcell_ff \inst|inst4|inst39|dffs[0] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst39|dffs[0]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst87~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst39|dffs [0])); + +// Location: LCCOMB_X24_Y14_N8 +cycloneii_lcell_comb \inst|inst4|inst40|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst32|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst35|dffs [5]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst31|dffs [5])) +// \inst|inst4|inst40|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst39|dffs [0]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst42|dffs [0])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst39|dffs [0])))) - .dataa(\inst|inst4|inst31|dffs [5]), - .datab(\inst|inst4|inst35|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst42|dffs [0]), + .datac(\inst|inst4|inst39|dffs [0]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst32|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst40|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst32|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst32|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst40|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst40|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y14_N0 -cycloneii_lcell_comb \inst|inst4|inst124|inst16 ( +// Location: LCCOMB_X25_Y11_N14 +cycloneii_lcell_comb \inst|inst4|inst41|dffs[0]~feeder ( // Equation(s): -// \inst|inst4|inst124|inst16~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & -// \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [35]))) +// \inst|inst4|inst41|dffs[0]~feeder_combout = \inst|inst4|inst40|$00000|auto_generated|result_node[0]~5_combout - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [35]), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst40|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst16~combout ), + .combout(\inst|inst4|inst41|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst16 .lut_mask = 16'h0100; -defparam \inst|inst4|inst124|inst16 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst41|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst41|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X32_Y18_N17 -cycloneii_lcell_ff \inst|inst4|inst33|dffs[5] ( +// Location: LCFF_X25_Y11_N15 +cycloneii_lcell_ff \inst|inst4|inst41|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst32|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst41|dffs[0]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst16~combout ), + .ena(\inst|inst4|inst124|inst86~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst33|dffs [5])); + .regout(\inst|inst4|inst41|dffs [0])); -// Location: LCCOMB_X33_Y18_N10 -cycloneii_lcell_comb \inst|inst4|inst34|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X24_Y14_N14 +cycloneii_lcell_comb \inst|inst4|inst43|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst34|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst37|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst33|dffs [5]))) +// \inst|inst4|inst43|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst41|dffs [0])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst45|dffs [0])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst41|dffs [0]))))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst37|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst33|dffs [5]), + .dataa(\inst|inst4|inst45|dffs [0]), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst41|dffs [0]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst34|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst43|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst34|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst34|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst43|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hE2F0; +defparam \inst|inst4|inst43|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y18_N2 -cycloneii_lcell_comb \inst|inst4|inst124|inst17 ( +// Location: LCCOMB_X25_Y14_N24 +cycloneii_lcell_comb \inst|inst4|inst42|dffs[0]~feeder ( // Equation(s): -// \inst|inst4|inst124|inst17~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst4|inst122~0_combout & -// \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [34]))) +// \inst|inst4|inst42|dffs[0]~feeder_combout = \inst|inst4|inst43|$00000|auto_generated|result_node[0]~5_combout - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datab(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datac(\inst|inst4|inst122~0_combout ), - .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [34]), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst43|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst17~combout ), + .combout(\inst|inst4|inst42|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst17 .lut_mask = 16'h0100; -defparam \inst|inst4|inst124|inst17 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst42|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst42|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X33_Y18_N11 -cycloneii_lcell_ff \inst|inst4|inst35|dffs[5] ( +// Location: LCFF_X25_Y14_N25 +cycloneii_lcell_ff \inst|inst4|inst42|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst34|$00000|auto_generated|result_node[5]~3_combout ), - .sdata(\~GND~combout ), + .datain(\inst|inst4|inst42|dffs[0]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst17~combout ), + .ena(\inst|inst4|inst124|inst85~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst35|dffs [5])); + .regout(\inst|inst4|inst42|dffs [0])); -// Location: LCCOMB_X32_Y17_N18 -cycloneii_lcell_comb \inst|inst4|inst36|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X24_Y14_N4 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~135 ( // Equation(s): -// \inst|inst4|inst36|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst39|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst35|dffs [5]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~135_combout = (\addr~combout [0] & ((\inst|inst4|inst42|dffs [0]) # ((\addr~combout [1])))) # (!\addr~combout [0] & (((\inst|inst4|inst41|dffs [0] & !\addr~combout [1])))) - .dataa(\inst|inst4|inst39|dffs [5]), - .datab(\inst|inst4|inst35|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\addr~combout [0]), + .datab(\inst|inst4|inst42|dffs [0]), + .datac(\inst|inst4|inst41|dffs [0]), + .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst36|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~135_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst36|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst36|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~135 .lut_mask = 16'hAAD8; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~135 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X37_Y17_N12 -cycloneii_lcell_comb \inst|inst4|inst124|inst18 ( +// Location: LCCOMB_X23_Y11_N28 +cycloneii_lcell_comb \inst|inst4|inst59|dffs[0]~feeder ( // Equation(s): -// \inst|inst4|inst124|inst18~combout = (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [33] & (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & -// !\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ))) +// \inst|inst4|inst59|dffs[0]~feeder_combout = \inst|inst4|inst58|$00000|auto_generated|result_node[0]~5_combout - .dataa(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [33]), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .dataa(\inst|inst4|inst58|$00000|auto_generated|result_node[0]~5_combout ), + .datab(vcc), + .datac(vcc), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst124|inst18~combout ), + .combout(\inst|inst4|inst59|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst18 .lut_mask = 16'h0002; -defparam \inst|inst4|inst124|inst18 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst59|dffs[0]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst59|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X32_Y17_N19 -cycloneii_lcell_ff \inst|inst4|inst37|dffs[5] ( +// Location: LCFF_X23_Y11_N29 +cycloneii_lcell_ff \inst|inst4|inst59|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst36|$00000|auto_generated|result_node[5]~3_combout ), - .sdata(\~GND~combout ), + .datain(\inst|inst4|inst59|dffs[0]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst18~combout ), + .ena(\inst|inst4|inst124|inst77~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst37|dffs [5])); + .regout(\inst|inst4|inst59|dffs [0])); -// Location: LCCOMB_X31_Y17_N30 -cycloneii_lcell_comb \inst|inst4|inst38|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X24_Y14_N6 +cycloneii_lcell_comb \inst|inst4|inst56|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst38|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst41|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst37|dffs [5]))) +// \inst|inst4|inst56|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst54|dffs [0])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst59|dffs [0]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst54|dffs [0])) - .dataa(\inst|inst4|inst41|dffs [5]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst37|dffs [5]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst54|dffs [0]), + .datac(\inst|inst4|inst59|dffs [0]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst38|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst56|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst38|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst38|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst56|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst56|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X37_Y17_N22 -cycloneii_lcell_comb \inst|inst4|inst124|inst19 ( +// Location: LCCOMB_X22_Y14_N4 +cycloneii_lcell_comb \inst|inst4|inst57|dffs[0]~feeder ( // Equation(s): -// \inst|inst4|inst124|inst19~combout = (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [32] & (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & -// !\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ))) +// \inst|inst4|inst57|dffs[0]~feeder_combout = \inst|inst4|inst56|$00000|auto_generated|result_node[0]~5_combout - .dataa(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [32]), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .dataa(vcc), + .datab(\inst|inst4|inst56|$00000|auto_generated|result_node[0]~5_combout ), + .datac(vcc), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst124|inst19~combout ), + .combout(\inst|inst4|inst57|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst19 .lut_mask = 16'h0002; -defparam \inst|inst4|inst124|inst19 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst57|dffs[0]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst57|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X31_Y17_N31 -cycloneii_lcell_ff \inst|inst4|inst39|dffs[5] ( +// Location: LCFF_X22_Y14_N5 +cycloneii_lcell_ff \inst|inst4|inst57|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst38|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst57|dffs[0]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst19~combout ), + .ena(\inst|inst4|inst124|inst78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst39|dffs [5])); + .regout(\inst|inst4|inst57|dffs [0])); -// Location: LCCOMB_X33_Y18_N28 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~91 ( +// Location: LCCOMB_X22_Y14_N2 +cycloneii_lcell_comb \inst|inst4|inst55|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~91_combout = (\addr~combout [0] & ((\inst|inst4|inst35|dffs [5]) # ((\addr~combout [1])))) # (!\addr~combout [0] & (((\inst|inst4|inst33|dffs [5] & !\addr~combout [1])))) +// \inst|inst4|inst55|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst53|dffs [0])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst57|dffs [0]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst53|dffs [0])) - .dataa(\inst|inst4|inst35|dffs [5]), - .datab(\inst|inst4|inst33|dffs [5]), - .datac(\addr~combout [0]), - .datad(\addr~combout [1]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst53|dffs [0]), + .datac(\inst|inst4|inst57|dffs [0]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~91_combout ), + .combout(\inst|inst4|inst55|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~91 .lut_mask = 16'hF0AC; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~91 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst55|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst55|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y18_N22 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~92 ( +// Location: LCCOMB_X22_Y14_N22 +cycloneii_lcell_comb \inst|inst4|inst54|dffs[0]~feeder ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~92_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~91_combout & (\inst|inst4|inst39|dffs [5])) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~91_combout & ((\inst|inst4|inst37|dffs [5]))))) # (!\addr~combout [1] & (((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~91_combout )))) +// \inst|inst4|inst54|dffs[0]~feeder_combout = \inst|inst4|inst55|$00000|auto_generated|result_node[0]~5_combout - .dataa(\addr~combout [1]), - .datab(\inst|inst4|inst39|dffs [5]), - .datac(\inst|inst4|inst37|dffs [5]), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~91_combout ), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst55|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~92_combout ), + .combout(\inst|inst4|inst54|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~92 .lut_mask = 16'hDDA0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~92 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst54|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst54|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X36_Y18_N22 -cycloneii_lcell_comb \inst|inst4|inst55|$00000|auto_generated|result_node[5]~3 ( +// Location: LCFF_X22_Y14_N23 +cycloneii_lcell_ff \inst|inst4|inst54|dffs[0] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst54|dffs[0]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst79~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst54|dffs [0])); + +// Location: LCCOMB_X24_Y14_N30 +cycloneii_lcell_comb \inst|inst4|inst52|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst55|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst57|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst53|dffs [5]))) +// \inst|inst4|inst52|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst51|dffs [0])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst54|dffs [0]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst51|dffs [0])) - .dataa(\inst|inst4|inst57|dffs [5]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst53|dffs [5]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst51|dffs [0]), + .datac(\inst|inst4|inst54|dffs [0]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst55|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst52|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst55|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst55|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst52|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst52|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X36_Y18_N30 -cycloneii_lcell_comb \inst|inst4|inst124|inst27 ( +// Location: LCCOMB_X23_Y14_N18 +cycloneii_lcell_comb \inst|inst4|inst53|dffs[0]~feeder ( // Equation(s): -// \inst|inst4|inst124|inst27~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & -// \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [24]))) +// \inst|inst4|inst53|dffs[0]~feeder_combout = \inst|inst4|inst52|$00000|auto_generated|result_node[0]~5_combout - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [24]), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst52|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst27~combout ), + .combout(\inst|inst4|inst53|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst27 .lut_mask = 16'h0100; -defparam \inst|inst4|inst124|inst27 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst53|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst53|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X36_Y18_N23 -cycloneii_lcell_ff \inst|inst4|inst54|dffs[5] ( +// Location: LCFF_X23_Y14_N19 +cycloneii_lcell_ff \inst|inst4|inst53|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst55|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst53|dffs[0]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst27~combout ), + .ena(\inst|inst4|inst124|inst80~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst54|dffs [5])); + .regout(\inst|inst4|inst53|dffs [0])); -// Location: LCCOMB_X35_Y17_N2 -cycloneii_lcell_comb \inst|inst4|inst52|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X24_Y14_N18 +cycloneii_lcell_comb \inst|inst4|inst50|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst52|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst54|dffs [5]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst51|dffs [5])) +// \inst|inst4|inst50|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst49|dffs [0])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst53|dffs [0]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst49|dffs [0])) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst51|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst54|dffs [5]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst49|dffs [0]), + .datac(\inst|inst4|inst53|dffs [0]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst52|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst50|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst52|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst52|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst50|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst50|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X36_Y17_N20 -cycloneii_lcell_comb \inst|inst4|inst124|inst26 ( +// Location: LCCOMB_X24_Y14_N0 +cycloneii_lcell_comb \inst|inst4|inst51|dffs[0]~feeder ( // Equation(s): -// \inst|inst4|inst124|inst26~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & -// \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [25]))) +// \inst|inst4|inst51|dffs[0]~feeder_combout = \inst|inst4|inst50|$00000|auto_generated|result_node[0]~5_combout - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [25]), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst50|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst26~combout ), + .combout(\inst|inst4|inst51|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst26 .lut_mask = 16'h0100; -defparam \inst|inst4|inst124|inst26 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst51|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst51|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X35_Y17_N3 -cycloneii_lcell_ff \inst|inst4|inst53|dffs[5] ( +// Location: LCFF_X24_Y14_N1 +cycloneii_lcell_ff \inst|inst4|inst51|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst52|$00000|auto_generated|result_node[5]~3_combout ), - .sdata(\~GND~combout ), + .datain(\inst|inst4|inst51|dffs[0]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst26~combout ), + .ena(\inst|inst4|inst124|inst81~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst53|dffs [5])); + .regout(\inst|inst4|inst51|dffs [0])); -// Location: LCCOMB_X35_Y17_N0 -cycloneii_lcell_comb \inst|inst4|inst50|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X24_Y14_N12 +cycloneii_lcell_comb \inst|inst4|inst48|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst50|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst53|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst49|dffs [5]))) +// \inst|inst4|inst48|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst47|dffs [0]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst51|dffs [0])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst47|dffs [0])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst53|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst49|dffs [5]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst51|dffs [0]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst47|dffs [0]), .cin(gnd), - .combout(\inst|inst4|inst50|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst48|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst50|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst50|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst48|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hFD08; +defparam \inst|inst4|inst48|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X36_Y17_N26 -cycloneii_lcell_comb \inst|inst4|inst124|inst25 ( +// Location: LCCOMB_X24_Y14_N2 +cycloneii_lcell_comb \inst|inst4|inst49|dffs[0]~feeder ( // Equation(s): -// \inst|inst4|inst124|inst25~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & -// \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [26]))) +// \inst|inst4|inst49|dffs[0]~feeder_combout = \inst|inst4|inst48|$00000|auto_generated|result_node[0]~5_combout - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [26]), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst48|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst25~combout ), + .combout(\inst|inst4|inst49|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst25 .lut_mask = 16'h0100; -defparam \inst|inst4|inst124|inst25 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst49|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst49|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X35_Y17_N1 -cycloneii_lcell_ff \inst|inst4|inst51|dffs[5] ( +// Location: LCFF_X24_Y14_N3 +cycloneii_lcell_ff \inst|inst4|inst49|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst50|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst49|dffs[0]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst25~combout ), + .ena(\inst|inst4|inst124|inst82~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst51|dffs [5])); + .regout(\inst|inst4|inst49|dffs [0])); -// Location: LCCOMB_X34_Y17_N14 -cycloneii_lcell_comb \inst|inst4|inst48|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X24_Y14_N10 +cycloneii_lcell_comb \inst|inst4|inst46|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst48|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst51|dffs [5]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst47|dffs [5])) +// \inst|inst4|inst46|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst45|dffs [0]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst49|dffs [0])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst45|dffs [0])))) - .dataa(\inst|inst4|inst47|dffs [5]), - .datab(\inst|inst4|inst51|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst49|dffs [0]), + .datac(\inst|inst4|inst45|dffs [0]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst48|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst46|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst48|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst48|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst46|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst46|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y17_N22 -cycloneii_lcell_comb \inst|inst4|inst124|inst24 ( +// Location: LCCOMB_X25_Y15_N12 +cycloneii_lcell_comb \inst|inst4|inst47|dffs[0]~feeder ( // Equation(s): -// \inst|inst4|inst124|inst24~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst4|inst122~0_combout & -// \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [27]))) +// \inst|inst4|inst47|dffs[0]~feeder_combout = \inst|inst4|inst46|$00000|auto_generated|result_node[0]~5_combout - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datab(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datac(\inst|inst4|inst122~0_combout ), - .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [27]), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst46|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst24~combout ), + .combout(\inst|inst4|inst47|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst24 .lut_mask = 16'h0100; -defparam \inst|inst4|inst124|inst24 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst47|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst47|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X34_Y17_N15 -cycloneii_lcell_ff \inst|inst4|inst49|dffs[5] ( +// Location: LCFF_X25_Y15_N13 +cycloneii_lcell_ff \inst|inst4|inst47|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst48|$00000|auto_generated|result_node[5]~3_combout ), - .sdata(\~GND~combout ), + .datain(\inst|inst4|inst47|dffs[0]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst24~combout ), + .ena(\inst|inst4|inst124|inst83~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst49|dffs [5])); + .regout(\inst|inst4|inst47|dffs [0])); -// Location: LCCOMB_X31_Y17_N12 -cycloneii_lcell_comb \inst|inst4|inst43|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X24_Y14_N28 +cycloneii_lcell_comb \inst|inst4|inst44|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst43|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst45|dffs [5]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst41|dffs [5])) +// \inst|inst4|inst44|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst42|dffs [0])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst47|dffs [0]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst42|dffs [0])) - .dataa(\inst|inst4|inst41|dffs [5]), - .datab(\inst|inst4|inst45|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst42|dffs [0]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst47|dffs [0]), .cin(gnd), - .combout(\inst|inst4|inst43|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst44|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst43|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst43|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst44|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hCEC4; +defparam \inst|inst4|inst44|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X37_Y17_N26 -cycloneii_lcell_comb \inst|inst4|inst124|inst21 ( +// Location: LCCOMB_X25_Y15_N2 +cycloneii_lcell_comb \inst|inst4|inst45|dffs[0]~feeder ( // Equation(s): -// \inst|inst4|inst124|inst21~combout = (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [30] & (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & -// !\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ))) +// \inst|inst4|inst45|dffs[0]~feeder_combout = \inst|inst4|inst44|$00000|auto_generated|result_node[0]~5_combout - .dataa(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [30]), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst44|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst21~combout ), + .combout(\inst|inst4|inst45|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst21 .lut_mask = 16'h0002; -defparam \inst|inst4|inst124|inst21 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst45|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst45|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X31_Y17_N13 -cycloneii_lcell_ff \inst|inst4|inst42|dffs[5] ( +// Location: LCFF_X25_Y15_N3 +cycloneii_lcell_ff \inst|inst4|inst45|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst43|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst45|dffs[0]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst21~combout ), + .ena(\inst|inst4|inst124|inst84~1_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst42|dffs [5])); + .regout(\inst|inst4|inst45|dffs [0])); -// Location: LCCOMB_X34_Y17_N4 -cycloneii_lcell_comb \inst|inst4|inst44|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X24_Y14_N22 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~136 ( // Equation(s): -// \inst|inst4|inst44|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst47|dffs [5]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst42|dffs [5])) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~136_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~135_combout & ((\inst|inst4|inst47|dffs [0]) # ((!\addr~combout [1])))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~135_combout & (((\inst|inst4|inst45|dffs [0] & \addr~combout [1])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst42|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst47|dffs [5]), + .dataa(\inst|inst4|inst47|dffs [0]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~135_combout ), + .datac(\inst|inst4|inst45|dffs [0]), + .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst44|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~136_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst44|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst44|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~136 .lut_mask = 16'hB8CC; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~136 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X37_Y17_N24 -cycloneii_lcell_comb \inst|inst4|inst124|inst22 ( +// Location: LCCOMB_X27_Y14_N4 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~94 ( // Equation(s): -// \inst|inst4|inst124|inst22~combout = (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [29] & (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & -// !\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~94_combout = (!\addr~combout [3] & ((\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~136_combout ))) # (!\addr~combout [2] & +// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~138_combout )))) - .dataa(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [29]), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~138_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~136_combout ), + .datac(\addr~combout [3]), + .datad(\addr~combout [2]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~94_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~94 .lut_mask = 16'h0C0A; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~94 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y14_N24 +cycloneii_lcell_comb \inst|inst4|inst60|$00000|auto_generated|result_node[0]~5 ( +// Equation(s): +// \inst|inst4|inst60|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst59|dffs [0])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst63|dffs [0])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst59|dffs [0]))))) + + .dataa(\inst|inst4|inst63|dffs [0]), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst59|dffs [0]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst22~combout ), + .combout(\inst|inst4|inst60|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst22 .lut_mask = 16'h0002; -defparam \inst|inst4|inst124|inst22 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst60|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hE2F0; +defparam \inst|inst4|inst60|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X34_Y17_N5 -cycloneii_lcell_ff \inst|inst4|inst45|dffs[5] ( +// Location: LCCOMB_X22_Y13_N22 +cycloneii_lcell_comb \inst|inst4|inst61|dffs[0]~feeder ( +// Equation(s): +// \inst|inst4|inst61|dffs[0]~feeder_combout = \inst|inst4|inst60|$00000|auto_generated|result_node[0]~5_combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst60|$00000|auto_generated|result_node[0]~5_combout ), + .cin(gnd), + .combout(\inst|inst4|inst61|dffs[0]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst61|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst61|dffs[0]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X22_Y13_N23 +cycloneii_lcell_ff \inst|inst4|inst61|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst44|$00000|auto_generated|result_node[5]~3_combout ), + .datain(\inst|inst4|inst61|dffs[0]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst22~combout ), + .ena(\inst|inst4|inst124|inst76~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst45|dffs [5])); + .regout(\inst|inst4|inst61|dffs [0])); -// Location: LCCOMB_X33_Y17_N14 -cycloneii_lcell_comb \inst|inst4|inst46|$00000|auto_generated|result_node[5]~3 ( +// Location: LCCOMB_X23_Y11_N2 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~96 ( // Equation(s): -// \inst|inst4|inst46|$00000|auto_generated|result_node[5]~3_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst49|dffs [5])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst45|dffs [5]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~96_combout = (\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst63|dffs [0])) # (!\addr~combout [0] & ((\inst|inst4|inst61|dffs [0]))))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst49|dffs [5]), - .datac(vcc), - .datad(\inst|inst4|inst45|dffs [5]), + .dataa(\inst|inst4|inst63|dffs [0]), + .datab(\addr~combout [0]), + .datac(\addr~combout [1]), + .datad(\inst|inst4|inst61|dffs [0]), .cin(gnd), - .combout(\inst|inst4|inst46|$00000|auto_generated|result_node[5]~3_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~96_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst46|$00000|auto_generated|result_node[5]~3 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst46|$00000|auto_generated|result_node[5]~3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~96 .lut_mask = 16'hB080; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~96 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y17_N6 -cycloneii_lcell_comb \inst|inst4|inst124|inst23 ( +// Location: LCCOMB_X23_Y11_N8 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~95 ( // Equation(s): -// \inst|inst4|inst124|inst23~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst4|inst122~0_combout & -// \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [28]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~95_combout = (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst59|dffs [0])) # (!\addr~combout [0] & ((\inst|inst4|inst57|dffs [0]))))) - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datab(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datac(\inst|inst4|inst122~0_combout ), - .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [28]), + .dataa(\inst|inst4|inst59|dffs [0]), + .datab(\addr~combout [0]), + .datac(\addr~combout [1]), + .datad(\inst|inst4|inst57|dffs [0]), .cin(gnd), - .combout(\inst|inst4|inst124|inst23~combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~95_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst23 .lut_mask = 16'h0100; -defparam \inst|inst4|inst124|inst23 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~95 .lut_mask = 16'h0B08; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~95 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X33_Y17_N15 -cycloneii_lcell_ff \inst|inst4|inst47|dffs[5] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst46|$00000|auto_generated|result_node[5]~3_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst23~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst47|dffs [5])); +// Location: LCCOMB_X23_Y11_N4 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~97 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~97_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~96_combout ) # +// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~95_combout ))) -// Location: LCCOMB_X34_Y17_N16 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~90 ( + .dataa(vcc), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~96_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~95_combout ), + .datad(\addr~combout [2]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~97_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~97 .lut_mask = 16'hFC00; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~97 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y14_N16 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~98 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~90_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~89_combout & ((\inst|inst4|inst47|dffs [5]) # ((!\addr~combout [1])))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~89_combout & (((\inst|inst4|inst45|dffs [5] & \addr~combout [1])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~98_combout = (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst51|dffs [0])) # (!\addr~combout [0] & ((\inst|inst4|inst49|dffs [0]))))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~89_combout ), - .datab(\inst|inst4|inst47|dffs [5]), - .datac(\inst|inst4|inst45|dffs [5]), - .datad(\addr~combout [1]), + .dataa(\addr~combout [1]), + .datab(\inst|inst4|inst51|dffs [0]), + .datac(\addr~combout [0]), + .datad(\inst|inst4|inst49|dffs [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~90_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~98_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~90 .lut_mask = 16'hD8AA; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~90 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~98 .lut_mask = 16'h4540; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~98 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y17_N24 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~60 ( +// Location: LCCOMB_X27_Y14_N14 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~100 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~60_combout = (!\addr~combout [3] & ((\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~90_combout ))) # (!\addr~combout [2] & -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~92_combout )))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~100_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~97_combout ) # ((!\addr~combout [2] & +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~99_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~98_combout )))) - .dataa(\addr~combout [2]), - .datab(\addr~combout [3]), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~92_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~90_combout ), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~99_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~97_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~98_combout ), + .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~60_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~100_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~60 .lut_mask = 16'h3210; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~60 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~100 .lut_mask = 16'hCCFE; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~100 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y17_N18 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~67 ( +// Location: LCCOMB_X27_Y14_N24 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~101 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~67_combout = (\addr~combout [4] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~60_combout ) # -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~66_combout & \addr~combout [3])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~101_combout = (\addr~combout [4] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~94_combout ) # ((\addr~combout [3] & +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~100_combout )))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~66_combout ), - .datab(\addr~combout [3]), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~60_combout ), + .dataa(\addr~combout [3]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~94_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~100_combout ), .datad(\addr~combout [4]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~67_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~101_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~67 .lut_mask = 16'hF800; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~67 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~101 .lut_mask = 16'hEC00; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~101 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y16_N6 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68 ( +// Location: LCCOMB_X23_Y11_N22 +cycloneii_lcell_comb \inst|inst4|inst62|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~59_combout ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~105_combout & -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~155_combout )) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~105_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~67_combout )))) +// \inst|inst4|inst62|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst61|dffs [0]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst65|dffs [0])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst61|dffs [0])))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~155_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~59_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~105_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~67_combout ), + .dataa(\inst|inst4|inst65|dffs [0]), + .datab(\inst|inst4|inst61|dffs [0]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), + .combout(\inst|inst4|inst62|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68 .lut_mask = 16'hEFEC; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst62|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hCCAC; +defparam \inst|inst4|inst62|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y17_N22 -cycloneii_lcell_comb \inst|inst4|inst38|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X22_Y13_N12 +cycloneii_lcell_comb \inst|inst4|inst63|dffs[0]~feeder ( // Equation(s): -// \inst|inst4|inst38|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst41|dffs [0]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst37|dffs [0])) +// \inst|inst4|inst63|dffs[0]~feeder_combout = \inst|inst4|inst62|$00000|auto_generated|result_node[0]~5_combout - .dataa(\inst|inst4|inst37|dffs [0]), - .datab(\inst|inst4|inst41|dffs [0]), + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .datad(\inst|inst4|inst62|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\inst|inst4|inst38|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst63|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst38|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst38|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst63|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst63|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X31_Y17_N23 -cycloneii_lcell_ff \inst|inst4|inst39|dffs[0] ( +// Location: LCFF_X22_Y13_N13 +cycloneii_lcell_ff \inst|inst4|inst63|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst38|$00000|auto_generated|result_node[0]~5_combout ), + .datain(\inst|inst4|inst63|dffs[0]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst19~combout ), + .ena(\inst|inst4|inst124|inst75~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst39|dffs [0])); + .regout(\inst|inst4|inst63|dffs [0])); -// Location: LCCOMB_X32_Y17_N22 -cycloneii_lcell_comb \inst|inst4|inst40|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X23_Y11_N12 +cycloneii_lcell_comb \inst|inst4|inst64|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst40|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst42|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst39|dffs [0]))) +// \inst|inst4|inst64|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst63|dffs [0])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst67|dffs [0]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst63|dffs [0])) - .dataa(\inst|inst4|inst42|dffs [0]), - .datab(\inst|inst4|inst39|dffs [0]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst63|dffs [0]), + .datac(\inst|inst4|inst67|dffs [0]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst40|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst64|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst40|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst40|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst64|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst64|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y17_N24 -cycloneii_lcell_comb \inst|inst4|inst124|inst20 ( +// Location: LCCOMB_X23_Y11_N30 +cycloneii_lcell_comb \inst|inst4|inst65|dffs[0]~feeder ( // Equation(s): -// \inst|inst4|inst124|inst20~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [31] & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & -// !\inst|inst4|inst122~0_combout ))) +// \inst|inst4|inst65|dffs[0]~feeder_combout = \inst|inst4|inst64|$00000|auto_generated|result_node[0]~5_combout - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datab(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [31]), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datad(\inst|inst4|inst122~0_combout ), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst64|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst20~combout ), + .combout(\inst|inst4|inst65|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst20 .lut_mask = 16'h0004; -defparam \inst|inst4|inst124|inst20 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst65|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst65|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X32_Y17_N23 -cycloneii_lcell_ff \inst|inst4|inst41|dffs[0] ( +// Location: LCFF_X23_Y11_N31 +cycloneii_lcell_ff \inst|inst4|inst65|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst40|$00000|auto_generated|result_node[0]~5_combout ), + .datain(\inst|inst4|inst65|dffs[0]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst20~combout ), + .ena(\inst|inst4|inst124|inst74~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst41|dffs [0])); + .regout(\inst|inst4|inst65|dffs [0])); -// Location: LCCOMB_X37_Y18_N12 -cycloneii_lcell_comb \inst|inst4|inst56|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X23_Y11_N18 +cycloneii_lcell_comb \inst|inst4|inst66|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst56|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst59|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst54|dffs [0]))) +// \inst|inst4|inst66|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst65|dffs [0]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst68|dffs [0])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst65|dffs [0])))) - .dataa(\inst|inst4|inst59|dffs [0]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst54|dffs [0]), + .dataa(\inst|inst4|inst68|dffs [0]), + .datab(\inst|inst4|inst65|dffs [0]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst56|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst66|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst56|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst56|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst66|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hCCAC; +defparam \inst|inst4|inst66|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X37_Y18_N8 -cycloneii_lcell_comb \inst|inst4|inst124|inst28 ( +// Location: LCCOMB_X22_Y12_N18 +cycloneii_lcell_comb \inst|inst4|inst67|dffs[0]~feeder ( // Equation(s): -// \inst|inst4|inst124|inst28~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst4|inst122~0_combout & -// \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [23]))) +// \inst|inst4|inst67|dffs[0]~feeder_combout = \inst|inst4|inst66|$00000|auto_generated|result_node[0]~5_combout - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datab(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datac(\inst|inst4|inst122~0_combout ), - .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [23]), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst66|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst28~combout ), + .combout(\inst|inst4|inst67|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst28 .lut_mask = 16'h0100; -defparam \inst|inst4|inst124|inst28 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst67|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst67|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X37_Y18_N13 -cycloneii_lcell_ff \inst|inst4|inst57|dffs[0] ( +// Location: LCFF_X22_Y12_N19 +cycloneii_lcell_ff \inst|inst4|inst67|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst56|$00000|auto_generated|result_node[0]~5_combout ), - .sdata(\~GND~combout ), + .datain(\inst|inst4|inst67|dffs[0]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst28~combout ), + .ena(\inst|inst4|inst124|inst73~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst57|dffs [0])); + .regout(\inst|inst4|inst67|dffs [0])); + +// Location: LCCOMB_X23_Y11_N24 +cycloneii_lcell_comb \inst|inst4|inst69|$00000|auto_generated|result_node[0]~5 ( +// Equation(s): +// \inst|inst4|inst69|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst67|dffs [0]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst71|dffs [0])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst67|dffs [0])))) + + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst71|dffs [0]), + .datac(\inst|inst4|inst67|dffs [0]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst69|$00000|auto_generated|result_node[0]~5_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst69|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst69|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +// synopsys translate_on -// Location: LCCOMB_X36_Y18_N12 -cycloneii_lcell_comb \inst|inst4|inst55|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X22_Y11_N14 +cycloneii_lcell_comb \inst|inst4|inst68|dffs[0]~feeder ( // Equation(s): -// \inst|inst4|inst55|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst57|dffs [0]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst53|dffs [0])) +// \inst|inst4|inst68|dffs[0]~feeder_combout = \inst|inst4|inst69|$00000|auto_generated|result_node[0]~5_combout - .dataa(\inst|inst4|inst53|dffs [0]), - .datab(\inst|inst4|inst57|dffs [0]), + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .datad(\inst|inst4|inst69|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\inst|inst4|inst55|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst68|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst55|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst55|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst68|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst68|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X36_Y18_N13 -cycloneii_lcell_ff \inst|inst4|inst54|dffs[0] ( +// Location: LCFF_X22_Y11_N15 +cycloneii_lcell_ff \inst|inst4|inst68|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst55|$00000|auto_generated|result_node[0]~5_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst68|dffs[0]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst27~combout ), + .ena(\inst|inst4|inst124|inst72~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst54|dffs [0])); + .regout(\inst|inst4|inst68|dffs [0])); -// Location: LCCOMB_X36_Y17_N18 -cycloneii_lcell_comb \inst|inst4|inst52|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X22_Y10_N30 +cycloneii_lcell_comb \inst|inst4|inst70|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst52|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst54|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst51|dffs [0]))) +// \inst|inst4|inst70|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst68|dffs [0]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst73|dffs [0])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst68|dffs [0])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst54|dffs [0]), - .datac(vcc), - .datad(\inst|inst4|inst51|dffs [0]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst73|dffs [0]), + .datac(\inst|inst4|inst68|dffs [0]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst52|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst70|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst52|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst52|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst70|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst70|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X36_Y17_N19 -cycloneii_lcell_ff \inst|inst4|inst53|dffs[0] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst52|$00000|auto_generated|result_node[0]~5_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst26~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst53|dffs [0])); - -// Location: LCCOMB_X36_Y17_N16 -cycloneii_lcell_comb \inst|inst4|inst50|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X22_Y10_N12 +cycloneii_lcell_comb \inst|inst4|inst71|dffs[0]~feeder ( // Equation(s): -// \inst|inst4|inst50|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst53|dffs [0]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst49|dffs [0])) +// \inst|inst4|inst71|dffs[0]~feeder_combout = \inst|inst4|inst70|$00000|auto_generated|result_node[0]~5_combout - .dataa(\inst|inst4|inst49|dffs [0]), - .datab(\inst|inst4|inst53|dffs [0]), + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .datad(\inst|inst4|inst70|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\inst|inst4|inst50|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst71|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst50|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst50|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst71|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst71|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X36_Y17_N17 -cycloneii_lcell_ff \inst|inst4|inst51|dffs[0] ( +// Location: LCFF_X22_Y10_N13 +cycloneii_lcell_ff \inst|inst4|inst71|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst50|$00000|auto_generated|result_node[0]~5_combout ), + .datain(\inst|inst4|inst71|dffs[0]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst25~combout ), + .ena(\inst|inst4|inst124|inst71~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst51|dffs [0])); + .regout(\inst|inst4|inst71|dffs [0])); -// Location: LCCOMB_X34_Y17_N20 -cycloneii_lcell_comb \inst|inst4|inst48|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X27_Y10_N18 +cycloneii_lcell_comb \inst|inst4|inst72|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst48|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst51|dffs [0]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst47|dffs [0])) +// \inst|inst4|inst72|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst71|dffs [0]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst75|dffs [0])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst71|dffs [0])))) - .dataa(\inst|inst4|inst47|dffs [0]), - .datab(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst75|dffs [0]), + .datab(\inst|inst4|inst71|dffs [0]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst72|$00000|auto_generated|result_node[0]~5_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst72|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hCCAC; +defparam \inst|inst4|inst72|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y10_N20 +cycloneii_lcell_comb \inst|inst4|inst73|dffs[0]~feeder ( +// Equation(s): +// \inst|inst4|inst73|dffs[0]~feeder_combout = \inst|inst4|inst72|$00000|auto_generated|result_node[0]~5_combout + + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst51|dffs [0]), + .datad(\inst|inst4|inst72|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\inst|inst4|inst48|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst73|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst48|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst48|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst73|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst73|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X34_Y17_N21 -cycloneii_lcell_ff \inst|inst4|inst49|dffs[0] ( +// Location: LCFF_X24_Y10_N21 +cycloneii_lcell_ff \inst|inst4|inst73|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst48|$00000|auto_generated|result_node[0]~5_combout ), + .datain(\inst|inst4|inst73|dffs[0]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst24~combout ), + .ena(\inst|inst4|inst124|inst70~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst49|dffs [0])); + .regout(\inst|inst4|inst73|dffs [0])); -// Location: LCCOMB_X33_Y17_N12 -cycloneii_lcell_comb \inst|inst4|inst46|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X27_Y10_N0 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~139 ( // Equation(s): -// \inst|inst4|inst46|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst49|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst45|dffs [0]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~139_combout = (\addr~combout [1] & (((\addr~combout [0])))) # (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst75|dffs [0])) # (!\addr~combout [0] & ((\inst|inst4|inst73|dffs [0]))))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst49|dffs [0]), - .datac(vcc), - .datad(\inst|inst4|inst45|dffs [0]), + .dataa(\inst|inst4|inst75|dffs [0]), + .datab(\inst|inst4|inst73|dffs [0]), + .datac(\addr~combout [1]), + .datad(\addr~combout [0]), .cin(gnd), - .combout(\inst|inst4|inst46|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~139_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst46|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst46|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~139 .lut_mask = 16'hFA0C; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~139 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X33_Y17_N13 -cycloneii_lcell_ff \inst|inst4|inst47|dffs[0] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst46|$00000|auto_generated|result_node[0]~5_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst23~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst47|dffs [0])); +// Location: LCCOMB_X27_Y10_N6 +cycloneii_lcell_comb \inst|inst4|inst80|$00000|auto_generated|result_node[0]~5 ( +// Equation(s): +// \inst|inst4|inst80|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst79|dffs [0]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst82|dffs [0])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst79|dffs [0])))) -// Location: LCCOMB_X34_Y17_N10 -cycloneii_lcell_comb \inst|inst4|inst44|$00000|auto_generated|result_node[0]~5 ( + .dataa(\inst|inst4|inst82|dffs [0]), + .datab(\inst|inst4|inst79|dffs [0]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst80|$00000|auto_generated|result_node[0]~5_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst80|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hCCAC; +defparam \inst|inst4|inst80|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X26_Y11_N28 +cycloneii_lcell_comb \inst|inst4|inst81|dffs[0]~feeder ( // Equation(s): -// \inst|inst4|inst44|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst47|dffs [0]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst42|dffs [0])) +// \inst|inst4|inst81|dffs[0]~feeder_combout = \inst|inst4|inst80|$00000|auto_generated|result_node[0]~5_combout - .dataa(\inst|inst4|inst42|dffs [0]), - .datab(\inst|inst4|inst108~combout ), + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst47|dffs [0]), + .datad(\inst|inst4|inst80|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\inst|inst4|inst44|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst81|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst44|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst44|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst81|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst81|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X34_Y17_N11 -cycloneii_lcell_ff \inst|inst4|inst45|dffs[0] ( +// Location: LCFF_X26_Y11_N29 +cycloneii_lcell_ff \inst|inst4|inst81|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst44|$00000|auto_generated|result_node[0]~5_combout ), + .datain(\inst|inst4|inst81|dffs[0]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst22~combout ), + .ena(\inst|inst4|inst124|inst66~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst45|dffs [0])); + .regout(\inst|inst4|inst81|dffs [0])); -// Location: LCCOMB_X31_Y17_N20 -cycloneii_lcell_comb \inst|inst4|inst43|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X27_Y10_N28 +cycloneii_lcell_comb \inst|inst4|inst78|$00000|auto_generated|result_node[0]~5 ( +// Equation(s): +// \inst|inst4|inst78|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst77|dffs [0])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst81|dffs [0]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst77|dffs [0])) + + .dataa(\inst|inst4|inst77|dffs [0]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst81|dffs [0]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst78|$00000|auto_generated|result_node[0]~5_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst78|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hAAE2; +defparam \inst|inst4|inst78|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X27_Y10_N30 +cycloneii_lcell_comb \inst|inst4|inst79|dffs[0]~feeder ( // Equation(s): -// \inst|inst4|inst43|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst45|dffs [0]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst41|dffs [0])) +// \inst|inst4|inst79|dffs[0]~feeder_combout = \inst|inst4|inst78|$00000|auto_generated|result_node[0]~5_combout - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst41|dffs [0]), + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst45|dffs [0]), + .datad(\inst|inst4|inst78|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\inst|inst4|inst43|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst79|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst43|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst43|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst79|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst79|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X31_Y17_N21 -cycloneii_lcell_ff \inst|inst4|inst42|dffs[0] ( +// Location: LCFF_X27_Y10_N31 +cycloneii_lcell_ff \inst|inst4|inst79|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst43|$00000|auto_generated|result_node[0]~5_combout ), + .datain(\inst|inst4|inst79|dffs[0]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst21~combout ), + .ena(\inst|inst4|inst124|inst67~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst42|dffs [0])); + .regout(\inst|inst4|inst79|dffs [0])); -// Location: LCCOMB_X34_Y17_N18 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~135 ( +// Location: LCCOMB_X27_Y10_N10 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~140 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~135_combout = (\addr~combout [0] & (((\inst|inst4|inst42|dffs [0]) # (\addr~combout [1])))) # (!\addr~combout [0] & (\inst|inst4|inst41|dffs [0] & ((!\addr~combout [1])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~140_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~139_combout & (((\inst|inst4|inst79|dffs [0]) # (!\addr~combout [1])))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~139_combout & (\inst|inst4|inst77|dffs [0] & (\addr~combout [1]))) - .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst41|dffs [0]), - .datac(\inst|inst4|inst42|dffs [0]), - .datad(\addr~combout [1]), + .dataa(\inst|inst4|inst77|dffs [0]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~139_combout ), + .datac(\addr~combout [1]), + .datad(\inst|inst4|inst79|dffs [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~135_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~140_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~135 .lut_mask = 16'hAAE4; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~135 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~140 .lut_mask = 16'hEC2C; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~140 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y17_N12 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~136 ( +// Location: LCCOMB_X27_Y14_N26 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~141 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~136_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~135_combout & ((\inst|inst4|inst47|dffs [0]) # ((!\addr~combout [1])))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~135_combout & (((\inst|inst4|inst45|dffs [0] & \addr~combout [1])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~141_combout = (\addr~combout [5] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~140_combout & (!\addr~combout [3] & \addr~combout [2]))) - .dataa(\inst|inst4|inst47|dffs [0]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~135_combout ), - .datac(\inst|inst4|inst45|dffs [0]), + .dataa(\addr~combout [5]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~140_combout ), + .datac(\addr~combout [3]), + .datad(\addr~combout [2]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~141_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~141 .lut_mask = 16'h0800; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~141 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X23_Y11_N14 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~142 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~142_combout = (\addr~combout [0] & (((\inst|inst4|inst67|dffs [0]) # (\addr~combout [1])))) # (!\addr~combout [0] & (\inst|inst4|inst65|dffs [0] & ((!\addr~combout [1])))) + + .dataa(\inst|inst4|inst65|dffs [0]), + .datab(\addr~combout [0]), + .datac(\inst|inst4|inst67|dffs [0]), .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~136_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~142_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~136 .lut_mask = 16'hB8CC; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~136 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~142 .lut_mask = 16'hCCE2; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~142 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y16_N16 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~94 ( +// Location: LCCOMB_X23_Y11_N0 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~143 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~94_combout = (!\addr~combout [3] & ((\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~136_combout ))) # (!\addr~combout [2] & -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~138_combout )))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~143_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~142_combout & (((\inst|inst4|inst71|dffs [0]) # (!\addr~combout [1])))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~142_combout & (\inst|inst4|inst68|dffs [0] & (\addr~combout [1]))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~138_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~136_combout ), - .datac(\addr~combout [3]), - .datad(\addr~combout [2]), + .dataa(\inst|inst4|inst68|dffs [0]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~142_combout ), + .datac(\addr~combout [1]), + .datad(\inst|inst4|inst71|dffs [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~94_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~143_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~94 .lut_mask = 16'h0C0A; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~94 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~143 .lut_mask = 16'hEC2C; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~143 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X36_Y17_N28 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~99 ( +// Location: LCCOMB_X27_Y14_N20 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~144 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~99_combout = (\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst54|dffs [0])) # (!\addr~combout [0] & ((\inst|inst4|inst53|dffs [0]))))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~144_combout = (\addr~combout [5] & ((\addr~combout [4]) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~143_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout +// )))) - .dataa(\addr~combout [1]), - .datab(\inst|inst4|inst54|dffs [0]), - .datac(\addr~combout [0]), - .datad(\inst|inst4|inst53|dffs [0]), + .dataa(\addr~combout [5]), + .datab(\addr~combout [4]), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~143_combout ), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~99_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~144_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~99 .lut_mask = 16'h8A80; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~99 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~144 .lut_mask = 16'hA888; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~144 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y16_N22 -cycloneii_lcell_comb \inst|inst4|inst64|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X27_Y14_N10 +cycloneii_lcell_comb \inst|inst4|inst83|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst64|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst67|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst63|dffs [0]))) +// \inst|inst4|inst83|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst81|dffs [0])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst85|dffs [0])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst81|dffs [0]))))) - .dataa(\inst|inst4|inst67|dffs [0]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst63|dffs [0]), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst85|dffs [0]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst81|dffs [0]), .cin(gnd), - .combout(\inst|inst4|inst64|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst83|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst64|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst64|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst83|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hEF40; +defparam \inst|inst4|inst83|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y16_N30 -cycloneii_lcell_comb \inst|inst4|inst124|inst32 ( +// Location: LCCOMB_X27_Y14_N8 +cycloneii_lcell_comb \inst|inst4|inst82|dffs[0]~feeder ( // Equation(s): -// \inst|inst4|inst124|inst32~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst4|inst122~0_combout & (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [19] & -// !\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ))) +// \inst|inst4|inst82|dffs[0]~feeder_combout = \inst|inst4|inst83|$00000|auto_generated|result_node[0]~5_combout - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [19]), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst83|$00000|auto_generated|result_node[0]~5_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst32~combout ), + .combout(\inst|inst4|inst82|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst32 .lut_mask = 16'h0010; -defparam \inst|inst4|inst124|inst32 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst82|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst82|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X31_Y16_N23 -cycloneii_lcell_ff \inst|inst4|inst65|dffs[0] ( +// Location: LCFF_X27_Y14_N9 +cycloneii_lcell_ff \inst|inst4|inst82|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst64|$00000|auto_generated|result_node[0]~5_combout ), - .sdata(\~GND~combout ), + .datain(\inst|inst4|inst82|dffs[0]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst32~combout ), + .ena(\inst|inst4|inst124|inst65~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst65|dffs [0])); + .regout(\inst|inst4|inst82|dffs [0])); -// Location: LCCOMB_X35_Y18_N20 -cycloneii_lcell_comb \inst|inst4|inst62|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X27_Y14_N12 +cycloneii_lcell_comb \inst|inst4|inst84|$00000|auto_generated|result_node[0]~5 ( // Equation(s): -// \inst|inst4|inst62|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst65|dffs [0]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst61|dffs [0])) +// \inst|inst4|inst84|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst82|dffs [0]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst87|dffs [0])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst82|dffs [0])))) - .dataa(\inst|inst4|inst61|dffs [0]), - .datab(\inst|inst4|inst65|dffs [0]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst87|dffs [0]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst82|dffs [0]), .cin(gnd), - .combout(\inst|inst4|inst62|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst84|$00000|auto_generated|result_node[0]~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst62|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst62|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst84|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hFB08; +defparam \inst|inst4|inst84|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y18_N12 -cycloneii_lcell_comb \inst|inst4|inst124|inst31 ( +// Location: LCCOMB_X27_Y11_N14 +cycloneii_lcell_comb \inst|inst4|inst85|dffs[0]~feeder ( // Equation(s): -// \inst|inst4|inst124|inst31~combout = (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [20] & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst4|inst122~0_combout & -// !\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ))) +// \inst|inst4|inst85|dffs[0]~feeder_combout = \inst|inst4|inst84|$00000|auto_generated|result_node[0]~5_combout - .dataa(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [20]), - .datab(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datac(\inst|inst4|inst122~0_combout ), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .dataa(vcc), + .datab(\inst|inst4|inst84|$00000|auto_generated|result_node[0]~5_combout ), + .datac(vcc), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst124|inst31~combout ), + .combout(\inst|inst4|inst85|dffs[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst31 .lut_mask = 16'h0002; -defparam \inst|inst4|inst124|inst31 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst85|dffs[0]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst85|dffs[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X35_Y18_N21 -cycloneii_lcell_ff \inst|inst4|inst63|dffs[0] ( +// Location: LCFF_X27_Y11_N15 +cycloneii_lcell_ff \inst|inst4|inst85|dffs[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst62|$00000|auto_generated|result_node[0]~5_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst85|dffs[0]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst31~combout ), + .ena(\inst|inst4|inst124|inst64~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst63|dffs [0])); + .regout(\inst|inst4|inst85|dffs [0])); -// Location: LCCOMB_X36_Y18_N26 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~96 ( +// Location: LCCOMB_X27_Y14_N18 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~149 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~96_combout = (\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst63|dffs [0]))) # (!\addr~combout [0] & (\inst|inst4|inst61|dffs [0])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~149_combout = (\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst87|dffs [0])) # (!\addr~combout [0] & ((\inst|inst4|inst85|dffs [0]))))) - .dataa(\inst|inst4|inst61|dffs [0]), - .datab(\inst|inst4|inst63|dffs [0]), - .datac(\addr~combout [0]), + .dataa(\inst|inst4|inst87|dffs [0]), + .datab(\addr~combout [0]), + .datac(\inst|inst4|inst85|dffs [0]), .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~96_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~149_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~96 .lut_mask = 16'hCA00; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~96 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~149 .lut_mask = 16'hB800; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~149 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X36_Y18_N2 -cycloneii_lcell_comb \inst|inst4|inst58|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X27_Y14_N16 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~148 ( // Equation(s): -// \inst|inst4|inst58|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst61|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst57|dffs [0]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~148_combout = (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst82|dffs [0]))) # (!\addr~combout [0] & (\inst|inst4|inst81|dffs [0])))) - .dataa(\inst|inst4|inst61|dffs [0]), - .datab(\inst|inst4|inst57|dffs [0]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\addr~combout [1]), + .datab(\inst|inst4|inst81|dffs [0]), + .datac(\inst|inst4|inst82|dffs [0]), + .datad(\addr~combout [0]), .cin(gnd), - .combout(\inst|inst4|inst58|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~148_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst58|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst58|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~148 .lut_mask = 16'h5044; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~148 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X37_Y17_N0 -cycloneii_lcell_comb \inst|inst4|inst124|inst29 ( +// Location: LCCOMB_X27_Y14_N28 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~150 ( // Equation(s): -// \inst|inst4|inst124|inst29~combout = (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [22] & (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & -// !\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~150_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~147_combout ) # ((!\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~149_combout ) # +// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~148_combout )))) - .dataa(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [22]), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~147_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~149_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~148_combout ), + .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst124|inst29~combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~150_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst29 .lut_mask = 16'h0002; -defparam \inst|inst4|inst124|inst29 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~150 .lut_mask = 16'hAAFE; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~150 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X36_Y18_N3 -cycloneii_lcell_ff \inst|inst4|inst59|dffs[0] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst58|$00000|auto_generated|result_node[0]~5_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst29~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst59|dffs [0])); - -// Location: LCCOMB_X36_Y18_N16 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~95 ( +// Location: LCCOMB_X27_Y14_N22 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~151 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~95_combout = (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst59|dffs [0])) # (!\addr~combout [0] & ((\inst|inst4|inst57|dffs [0]))))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~151_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~141_combout ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~144_combout ) # +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~150_combout ))) - .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst59|dffs [0]), - .datac(\inst|inst4|inst57|dffs [0]), - .datad(\addr~combout [1]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~141_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~144_combout ), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~150_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~95_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~151_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~95 .lut_mask = 16'h00D8; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~95 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~151 .lut_mask = 16'hFEFC; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~151 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X36_Y18_N28 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~97 ( +// Location: LCCOMB_X27_Y14_N0 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~97_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~96_combout ) # -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~95_combout ))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~93_combout ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~151_combout & +// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~157_combout )) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~151_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~101_combout )))) - .dataa(vcc), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~96_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~95_combout ), - .datad(\addr~combout [2]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~157_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~93_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~101_combout ), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~151_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~97_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~97 .lut_mask = 16'hFC00; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~97 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102 .lut_mask = 16'hEEFC; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X36_Y17_N22 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~100 ( +// Location: LCCOMB_X27_Y19_N10 +cycloneii_lcell_comb \inst|inst5|inst|inst5|inst2~1 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~100_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~97_combout ) # ((!\addr~combout [2] & -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~98_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~99_combout )))) +// \inst|inst5|inst|inst5|inst2~1_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout ))) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout )))) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ) +// # ((!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout )))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~98_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~99_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~97_combout ), - .datad(\addr~combout [2]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~100_combout ), + .combout(\inst|inst5|inst|inst5|inst2~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~100 .lut_mask = 16'hF0FE; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~100 .sum_lutc_input = "datac"; +defparam \inst|inst5|inst|inst5|inst2~1 .lut_mask = 16'hDBD2; +defparam \inst|inst5|inst|inst5|inst2~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y16_N18 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~101 ( +// Location: LCCOMB_X27_Y19_N20 +cycloneii_lcell_comb \inst|inst5|inst|inst5|inst2~2 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~101_combout = (\addr~combout [4] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~94_combout ) # ((\addr~combout [3] & -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~100_combout )))) +// \inst|inst5|inst|inst5|inst2~2_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout & ((\inst|inst5|inst|inst5|inst2~0_combout ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout & +// \inst|inst5|inst|inst5|inst2~1_combout )))) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout & (\inst|inst5|inst|inst5|inst2~0_combout & +// \inst|inst5|inst|inst5|inst2~1_combout ))) - .dataa(\addr~combout [4]), - .datab(\addr~combout [3]), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~94_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~100_combout ), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout ), + .datac(\inst|inst5|inst|inst5|inst2~0_combout ), + .datad(\inst|inst5|inst|inst5|inst2~1_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~101_combout ), + .combout(\inst|inst5|inst|inst5|inst2~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~101 .lut_mask = 16'hA8A0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~101 .sum_lutc_input = "datac"; +defparam \inst|inst5|inst|inst5|inst2~2 .lut_mask = 16'hE8C0; +defparam \inst|inst5|inst|inst5|inst2~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y17_N18 -cycloneii_lcell_comb \inst|inst4|inst80|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X27_Y18_N0 +cycloneii_lcell_comb \inst|inst6|Mux0~0 ( // Equation(s): -// \inst|inst4|inst80|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst82|dffs [0]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst79|dffs [0])) +// \inst|inst6|Mux0~0_combout = (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & (!\mode_segment~combout & ((!\inst|inst5|inst|inst5|inst2~2_combout ) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout )))) - .dataa(\inst|inst4|inst79|dffs [0]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst82|dffs [0]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .datac(\mode_segment~combout ), + .datad(\inst|inst5|inst|inst5|inst2~2_combout ), .cin(gnd), - .combout(\inst|inst4|inst80|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst6|Mux0~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst80|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst80|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst6|Mux0~0 .lut_mask = 16'h0105; +defparam \inst|inst6|Mux0~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X37_Y17_N18 -cycloneii_lcell_comb \inst|inst4|inst124|inst40 ( +// Location: LCCOMB_X27_Y18_N26 +cycloneii_lcell_comb \inst|inst6|Mux1~0 ( // Equation(s): -// \inst|inst4|inst124|inst40~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst4|inst122~0_combout & (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [11] & -// !\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ))) +// \inst|inst6|Mux1~0_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout & (!\mode_segment~combout & +// !\inst|inst5|inst|inst5|inst2~2_combout )) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout & ((!\inst|inst5|inst|inst5|inst2~2_combout ) # (!\mode_segment~combout ))))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ) # ((\inst|inst5|inst|inst5|inst2~2_combout )))) - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [11]), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .datac(\mode_segment~combout ), + .datad(\inst|inst5|inst|inst5|inst2~2_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst40~combout ), + .combout(\inst|inst6|Mux1~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst40 .lut_mask = 16'h0010; -defparam \inst|inst4|inst124|inst40 .sum_lutc_input = "datac"; +defparam \inst|inst6|Mux1~0 .lut_mask = 16'h576E; +defparam \inst|inst6|Mux1~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y17_N19 -cycloneii_lcell_ff \inst|inst4|inst81|dffs[0] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst80|$00000|auto_generated|result_node[0]~5_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst40~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst81|dffs [0])); - -// Location: LCCOMB_X25_Y17_N24 -cycloneii_lcell_comb \inst|inst4|inst83|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X27_Y18_N4 +cycloneii_lcell_comb \inst|inst6|Mux2~0 ( // Equation(s): -// \inst|inst4|inst83|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst85|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst81|dffs [0]))) +// \inst|inst6|Mux2~0_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ) # (\mode_segment~combout $ +// (!\inst|inst5|inst|inst5|inst2~2_combout )))) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout & ((\mode_segment~combout & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout )) # +// (!\mode_segment~combout & ((\inst|inst5|inst|inst5|inst2~2_combout ))))) - .dataa(\inst|inst4|inst85|dffs [0]), - .datab(\inst|inst4|inst81|dffs [0]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .datac(\mode_segment~combout ), + .datad(\inst|inst5|inst|inst5|inst2~2_combout ), .cin(gnd), - .combout(\inst|inst4|inst83|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst6|Mux2~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst83|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst83|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst6|Mux2~0 .lut_mask = 16'hEBAC; +defparam \inst|inst6|Mux2~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y17_N10 -cycloneii_lcell_comb \inst|inst4|inst124|inst41 ( +// Location: LCCOMB_X27_Y18_N22 +cycloneii_lcell_comb \inst|inst6|Mux3~0 ( // Equation(s): -// \inst|inst4|inst124|inst41~combout = (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [10] & (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & -// !\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ))) +// \inst|inst6|Mux3~0_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout & (\mode_segment~combout $ +// (\inst|inst5|inst|inst5|inst2~2_combout ))) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout & (\mode_segment~combout & \inst|inst5|inst|inst5|inst2~2_combout )))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout & (!\mode_segment~combout & !\inst|inst5|inst|inst5|inst2~2_combout )) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout & (\mode_segment~combout $ (\inst|inst5|inst|inst5|inst2~2_combout ))))) - .dataa(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [10]), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .datac(\mode_segment~combout ), + .datad(\inst|inst5|inst|inst5|inst2~2_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst41~combout ), + .combout(\inst|inst6|Mux3~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst41 .lut_mask = 16'h0002; -defparam \inst|inst4|inst124|inst41 .sum_lutc_input = "datac"; +defparam \inst|inst6|Mux3~0 .lut_mask = 16'h2994; +defparam \inst|inst6|Mux3~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X25_Y17_N25 -cycloneii_lcell_ff \inst|inst4|inst82|dffs[0] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst83|$00000|auto_generated|result_node[0]~5_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst41~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst82|dffs [0])); - -// Location: LCCOMB_X24_Y17_N12 -cycloneii_lcell_comb \inst|inst4|inst84|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X27_Y19_N14 +cycloneii_lcell_comb \inst|inst6|Mux4~0 ( // Equation(s): -// \inst|inst4|inst84|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst87|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst82|dffs [0]))) +// \inst|inst6|Mux4~0_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ) # (\inst|inst5|inst|inst5|inst2~2_combout $ +// (!\mode_segment~combout )))) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & ((\inst|inst5|inst|inst5|inst2~2_combout ) # +// (\mode_segment~combout ))) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & ((!\mode_segment~combout ) # (!\inst|inst5|inst|inst5|inst2~2_combout ))))) - .dataa(\inst|inst4|inst87|dffs [0]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst82|dffs [0]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), + .datac(\inst|inst5|inst|inst5|inst2~2_combout ), + .datad(\mode_segment~combout ), .cin(gnd), - .combout(\inst|inst4|inst84|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst6|Mux4~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst84|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst84|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst6|Mux4~0 .lut_mask = 16'hEDDB; +defparam \inst|inst6|Mux4~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X37_Y17_N20 -cycloneii_lcell_comb \inst|inst4|inst124|inst42 ( +// Location: LCCOMB_X27_Y19_N16 +cycloneii_lcell_comb \inst|inst6|Mux5~0 ( // Equation(s): -// \inst|inst4|inst124|inst42~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst4|inst122~0_combout & (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [9] & -// !\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ))) +// \inst|inst6|Mux5~0_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & (\inst|inst5|inst|inst5|inst2~2_combout & +// \mode_segment~combout ))) - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [9]), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), + .datac(\inst|inst5|inst|inst5|inst2~2_combout ), + .datad(\mode_segment~combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst42~combout ), + .combout(\inst|inst6|Mux5~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst42 .lut_mask = 16'h0010; -defparam \inst|inst4|inst124|inst42 .sum_lutc_input = "datac"; +defparam \inst|inst6|Mux5~0 .lut_mask = 16'h8000; +defparam \inst|inst6|Mux5~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y17_N13 -cycloneii_lcell_ff \inst|inst4|inst85|dffs[0] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst84|$00000|auto_generated|result_node[0]~5_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst42~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst85|dffs [0])); +// Location: LCCOMB_X27_Y19_N2 +cycloneii_lcell_comb \inst|inst6|Mux6~0 ( +// Equation(s): +// \inst|inst6|Mux6~0_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & (\inst|inst5|inst|inst5|inst2~2_combout $ +// (\mode_segment~combout ))) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & (!\inst|inst5|inst|inst5|inst2~2_combout & !\mode_segment~combout )))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout & (\inst|inst5|inst|inst5|inst2~2_combout & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout $ (!\mode_segment~combout )))) -// Location: LCCOMB_X26_Y17_N6 -cycloneii_lcell_comb \inst|inst4|inst86|$00000|auto_generated|result_node[0]~5 ( + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), + .datac(\inst|inst5|inst|inst5|inst2~2_combout ), + .datad(\mode_segment~combout ), + .cin(gnd), + .combout(\inst|inst6|Mux6~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst6|Mux6~0 .lut_mask = 16'h4892; +defparam \inst|inst6|Mux6~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X27_Y19_N8 +cycloneii_lcell_comb \inst|inst5|inst5|inst|inst2~0 ( // Equation(s): -// \inst|inst4|inst86|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst89|dffs [0]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst85|dffs [0])) +// \inst|inst5|inst5|inst|inst2~0_combout = (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout & (\inst|inst5|inst|inst5|inst2~2_combout $ (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst85|dffs [0]), - .datac(vcc), - .datad(\inst|inst4|inst89|dffs [0]), + .dataa(\inst|inst5|inst|inst5|inst2~2_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst86|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst5|inst5|inst|inst2~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst86|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst86|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst5|inst5|inst|inst2~0 .lut_mask = 16'h1212; +defparam \inst|inst5|inst5|inst|inst2~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y17_N22 -cycloneii_lcell_comb \inst|inst4|inst124|inst43 ( +// Location: LCCOMB_X27_Y19_N30 +cycloneii_lcell_comb \inst|inst5|inst|inst7|inst3 ( // Equation(s): -// \inst|inst4|inst124|inst43~combout = (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [8] & -// !\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ))) +// \inst|inst5|inst|inst7|inst3~combout = \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout $ (((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout & \inst|inst5|inst|inst5|inst2~2_combout ))) - .dataa(\inst|inst4|inst122~0_combout ), - .datab(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datac(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [8]), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), + .datac(\inst|inst5|inst|inst5|inst2~2_combout ), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst124|inst43~combout ), + .combout(\inst|inst5|inst|inst7|inst3~combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst43 .lut_mask = 16'h0010; -defparam \inst|inst4|inst124|inst43 .sum_lutc_input = "datac"; +defparam \inst|inst5|inst|inst7|inst3 .lut_mask = 16'h6C6C; +defparam \inst|inst5|inst|inst7|inst3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X26_Y17_N7 -cycloneii_lcell_ff \inst|inst4|inst87|dffs[0] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst86|$00000|auto_generated|result_node[0]~5_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst43~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst87|dffs [0])); +// Location: LCCOMB_X27_Y19_N26 +cycloneii_lcell_comb \inst|inst5|inst5|inst1|inst3 ( +// Equation(s): +// \inst|inst5|inst5|inst1|inst3~combout = \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout $ (\inst|inst5|inst5|inst|inst2~0_combout $ (\inst|inst5|inst|inst7|inst3~combout )) -// Location: LCCOMB_X27_Y17_N6 -cycloneii_lcell_comb \inst|inst4|inst88|$00000|auto_generated|result_node[0]~5 ( + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout ), + .datab(vcc), + .datac(\inst|inst5|inst5|inst|inst2~0_combout ), + .datad(\inst|inst5|inst|inst7|inst3~combout ), + .cin(gnd), + .combout(\inst|inst5|inst5|inst1|inst3~combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst5|inst5|inst1|inst3 .lut_mask = 16'hA55A; +defparam \inst|inst5|inst5|inst1|inst3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X27_Y19_N4 +cycloneii_lcell_comb \inst|inst5|inst5|inst|inst ( // Equation(s): -// \inst|inst4|inst88|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst91|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst87|dffs [0]))) +// \inst|inst5|inst5|inst|inst~combout = \inst|inst5|inst|inst5|inst2~2_combout $ (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout $ (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout )) - .dataa(\inst|inst4|inst91|dffs [0]), - .datab(\inst|inst4|inst87|dffs [0]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst5|inst|inst5|inst2~2_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst88|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst5|inst5|inst|inst~combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst88|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst88|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst5|inst5|inst|inst .lut_mask = 16'h9696; +defparam \inst|inst5|inst5|inst|inst .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y17_N30 -cycloneii_lcell_comb \inst|inst4|inst124|inst44 ( +// Location: LCCOMB_X27_Y19_N28 +cycloneii_lcell_comb \inst|inst5|inst5|inst2|inst ( // Equation(s): -// \inst|inst4|inst124|inst44~combout = (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [7] & -// !\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ))) +// \inst|inst5|inst5|inst2|inst~combout = \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout $ (((\inst|inst5|inst|inst5|inst2~2_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ))) # (!\inst|inst5|inst|inst5|inst2~2_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ))))) - .dataa(\inst|inst4|inst122~0_combout ), - .datab(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datac(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [7]), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), + .datac(\inst|inst5|inst|inst5|inst2~2_combout ), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst44~combout ), + .combout(\inst|inst5|inst5|inst2|inst~combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst44 .lut_mask = 16'h0010; -defparam \inst|inst4|inst124|inst44 .sum_lutc_input = "datac"; +defparam \inst|inst5|inst5|inst2|inst .lut_mask = 16'h655A; +defparam \inst|inst5|inst5|inst2|inst .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X27_Y17_N7 -cycloneii_lcell_ff \inst|inst4|inst89|dffs[0] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst88|$00000|auto_generated|result_node[0]~5_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst44~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst89|dffs [0])); - -// Location: LCCOMB_X27_Y17_N28 -cycloneii_lcell_comb \inst|inst4|inst90|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X27_Y19_N6 +cycloneii_lcell_comb \inst|inst5|inst5|inst2|inst3 ( // Equation(s): -// \inst|inst4|inst90|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst93|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst89|dffs [0]))) +// \inst|inst5|inst5|inst2|inst3~combout = \inst|inst5|inst5|inst2|inst~combout $ (((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout & (\inst|inst5|inst5|inst|inst2~0_combout & \inst|inst5|inst|inst7|inst3~combout )) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout & ((\inst|inst5|inst5|inst|inst2~0_combout ) # (\inst|inst5|inst|inst7|inst3~combout ))))) - .dataa(\inst|inst4|inst93|dffs [0]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst89|dffs [0]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout ), + .datab(\inst|inst5|inst5|inst2|inst~combout ), + .datac(\inst|inst5|inst5|inst|inst2~0_combout ), + .datad(\inst|inst5|inst|inst7|inst3~combout ), .cin(gnd), - .combout(\inst|inst4|inst90|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst5|inst5|inst2|inst3~combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst90|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst90|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst5|inst5|inst2|inst3 .lut_mask = 16'h399C; +defparam \inst|inst5|inst5|inst2|inst3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y15_N6 -cycloneii_lcell_comb \inst|inst4|inst124|inst45 ( +// Location: LCCOMB_X26_Y19_N24 +cycloneii_lcell_comb \inst|inst7|Mux0~2 ( // Equation(s): -// \inst|inst4|inst124|inst45~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & -// \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [6]))) +// \inst|inst7|Mux0~2_combout = (\mode_segment~combout & (!\inst|inst5|inst5|inst2|inst3~combout & (\inst|inst5|inst5|inst1|inst3~combout $ (\inst|inst5|inst5|inst|inst~combout )))) # (!\mode_segment~combout & (!\inst|inst5|inst5|inst1|inst3~combout & +// (!\inst|inst5|inst5|inst|inst~combout & \inst|inst5|inst5|inst2|inst3~combout ))) - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [6]), + .dataa(\mode_segment~combout ), + .datab(\inst|inst5|inst5|inst1|inst3~combout ), + .datac(\inst|inst5|inst5|inst|inst~combout ), + .datad(\inst|inst5|inst5|inst2|inst3~combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst45~combout ), + .combout(\inst|inst7|Mux0~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst45 .lut_mask = 16'h0100; -defparam \inst|inst4|inst124|inst45 .sum_lutc_input = "datac"; +defparam \inst|inst7|Mux0~2 .lut_mask = 16'h0128; +defparam \inst|inst7|Mux0~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X27_Y17_N29 -cycloneii_lcell_ff \inst|inst4|inst91|dffs[0] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst90|$00000|auto_generated|result_node[0]~5_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst45~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst91|dffs [0])); - -// Location: LCCOMB_X27_Y18_N22 -cycloneii_lcell_comb \inst|inst4|inst92|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X26_Y19_N2 +cycloneii_lcell_comb \inst|inst7|Mux0~3 ( // Equation(s): -// \inst|inst4|inst92|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst95|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst91|dffs [0]))) +// \inst|inst7|Mux0~3_combout = (\inst|inst5|inst5|inst1|inst3~combout & ((\mode_segment~combout $ (!\inst|inst5|inst5|inst|inst~combout )) # (!\inst|inst5|inst5|inst2|inst3~combout ))) # (!\inst|inst5|inst5|inst1|inst3~combout & ((\mode_segment~combout +// ) # ((\inst|inst5|inst5|inst2|inst3~combout )))) - .dataa(\inst|inst4|inst95|dffs [0]), - .datab(\inst|inst4|inst91|dffs [0]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\mode_segment~combout ), + .datab(\inst|inst5|inst5|inst1|inst3~combout ), + .datac(\inst|inst5|inst5|inst|inst~combout ), + .datad(\inst|inst5|inst5|inst2|inst3~combout ), .cin(gnd), - .combout(\inst|inst4|inst92|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst7|Mux0~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst92|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst92|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst7|Mux0~3 .lut_mask = 16'hB7EE; +defparam \inst|inst7|Mux0~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y18_N30 -cycloneii_lcell_comb \inst|inst4|inst124|inst46 ( +// Location: LCCOMB_X29_Y14_N0 +cycloneii_lcell_comb \inst|inst4|inst101|dffs[2]~feeder ( // Equation(s): -// \inst|inst4|inst124|inst46~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & -// \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [5]))) +// \inst|inst4|inst101|dffs[2]~feeder_combout = \inst|inst4|inst100|$00000|auto_generated|result_node[2]~2_combout - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [5]), + .dataa(\inst|inst4|inst100|$00000|auto_generated|result_node[2]~2_combout ), + .datab(vcc), + .datac(vcc), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst124|inst46~combout ), + .combout(\inst|inst4|inst101|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst46 .lut_mask = 16'h0100; -defparam \inst|inst4|inst124|inst46 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst101|dffs[2]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst101|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X27_Y18_N23 -cycloneii_lcell_ff \inst|inst4|inst93|dffs[0] ( +// Location: LCFF_X29_Y14_N1 +cycloneii_lcell_ff \inst|inst4|inst101|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst92|$00000|auto_generated|result_node[0]~5_combout ), + .datain(\inst|inst4|inst101|dffs[2]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst46~combout ), + .ena(\inst|inst4|inst124|inst56~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst93|dffs [0])); + .regout(\inst|inst4|inst101|dffs [2])); -// Location: LCCOMB_X27_Y18_N28 -cycloneii_lcell_comb \inst|inst4|inst94|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X30_Y14_N14 +cycloneii_lcell_comb \inst|inst4|inst102|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst94|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst96|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst93|dffs [0]))) +// \inst|inst4|inst102|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst101|dffs [2] & (((\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout ) # (\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout )) # +// (!\inst|inst4|inst108~0_combout ))) - .dataa(\inst|inst4|inst96|dffs [0]), - .datab(\inst|inst4|inst93|dffs [0]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst101|dffs [2]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), .cin(gnd), - .combout(\inst|inst4|inst94|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst102|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst94|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst94|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst102|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hAAA2; +defparam \inst|inst4|inst102|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y18_N4 -cycloneii_lcell_comb \inst|inst4|inst124|inst47 ( +// Location: LCCOMB_X29_Y14_N2 +cycloneii_lcell_comb \inst|inst4|inst103|dffs[2]~feeder ( // Equation(s): -// \inst|inst4|inst124|inst47~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & -// \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [4]))) +// \inst|inst4|inst103|dffs[2]~feeder_combout = \inst|inst4|inst102|$00000|auto_generated|result_node[2]~2_combout - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [4]), + .dataa(vcc), + .datab(\inst|inst4|inst102|$00000|auto_generated|result_node[2]~2_combout ), + .datac(vcc), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst124|inst47~combout ), + .combout(\inst|inst4|inst103|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst47 .lut_mask = 16'h0100; -defparam \inst|inst4|inst124|inst47 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst103|dffs[2]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst103|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X27_Y18_N29 -cycloneii_lcell_ff \inst|inst4|inst95|dffs[0] ( +// Location: LCFF_X29_Y14_N3 +cycloneii_lcell_ff \inst|inst4|inst103|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst94|$00000|auto_generated|result_node[0]~5_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst103|dffs[2]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst47~combout ), + .ena(\inst|inst4|inst124|inst55~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst95|dffs [0])); + .regout(\inst|inst4|inst103|dffs [2])); -// Location: LCCOMB_X29_Y18_N22 -cycloneii_lcell_comb \inst|inst4|inst97|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X29_Y13_N30 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~65 ( // Equation(s): -// \inst|inst4|inst97|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst99|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst95|dffs [0]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~65_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~64_combout & (((\inst|inst4|inst103|dffs [2]) # (!\addr~combout [1])))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~64_combout & (\inst|inst4|inst101|dffs [2] & ((\addr~combout [1])))) - .dataa(\inst|inst4|inst99|dffs [0]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst95|dffs [0]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~64_combout ), + .datab(\inst|inst4|inst101|dffs [2]), + .datac(\inst|inst4|inst103|dffs [2]), + .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst97|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~65_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst97|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst97|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~65 .lut_mask = 16'hE4AA; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~65 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y18_N12 -cycloneii_lcell_comb \inst|inst4|inst124|inst48 ( +// Location: LCCOMB_X27_Y13_N30 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~154 ( // Equation(s): -// \inst|inst4|inst124|inst48~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & (!\inst|inst4|inst122~0_combout & -// \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [3]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~154_combout = ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~65_combout & (!\addr~combout [3] & !\addr~combout [2]))) # (!\addr~combout [4]) - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datab(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datac(\inst|inst4|inst122~0_combout ), - .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [3]), + .dataa(\addr~combout [4]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~65_combout ), + .datac(\addr~combout [3]), + .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst124|inst48~combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~154_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst48 .lut_mask = 16'h0100; -defparam \inst|inst4|inst124|inst48 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~154 .lut_mask = 16'h555D; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~154 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X29_Y18_N23 -cycloneii_lcell_ff \inst|inst4|inst96|dffs[0] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst97|$00000|auto_generated|result_node[0]~5_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst48~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst96|dffs [0])); - -// Location: LCCOMB_X29_Y18_N4 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~133 ( +// Location: LCCOMB_X25_Y14_N30 +cycloneii_lcell_comb \inst|inst4|inst40|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~133_combout = (\addr~combout [0] & ((\inst|inst4|inst99|dffs [0]) # ((\addr~combout [1])))) # (!\addr~combout [0] & (((\inst|inst4|inst96|dffs [0] & !\addr~combout [1])))) +// \inst|inst4|inst40|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst39|dffs [2])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst42|dffs [2]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst39|dffs [2])) - .dataa(\inst|inst4|inst99|dffs [0]), - .datab(\inst|inst4|inst96|dffs [0]), - .datac(\addr~combout [0]), - .datad(\addr~combout [1]), + .dataa(\inst|inst4|inst39|dffs [2]), + .datab(\inst|inst4|inst42|dffs [2]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~133_combout ), + .combout(\inst|inst4|inst40|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~133 .lut_mask = 16'hF0AC; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~133 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst40|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hAACA; +defparam \inst|inst4|inst40|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y18_N12 -cycloneii_lcell_comb \inst|inst4|inst102|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X25_Y14_N28 +cycloneii_lcell_comb \inst|inst4|inst41|dffs[2]~feeder ( // Equation(s): -// \inst|inst4|inst102|$00000|auto_generated|result_node[0]~5_combout = (!\inst|inst4|inst108~combout & \inst|inst4|inst101|dffs [0]) +// \inst|inst4|inst41|dffs[2]~feeder_combout = \inst|inst4|inst40|$00000|auto_generated|result_node[2]~2_combout .dataa(vcc), - .datab(\inst|inst4|inst108~combout ), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst101|dffs [0]), - .cin(gnd), - .combout(\inst|inst4|inst102|$00000|auto_generated|result_node[0]~5_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst102|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'h3300; -defparam \inst|inst4|inst102|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X25_Y18_N8 -cycloneii_lcell_comb \inst|inst4|inst124|inst51 ( -// Equation(s): -// \inst|inst4|inst124|inst51~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & -// \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [0]))) - - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [0]), + .datad(\inst|inst4|inst40|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst51~combout ), + .combout(\inst|inst4|inst41|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst51 .lut_mask = 16'h0100; -defparam \inst|inst4|inst124|inst51 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst41|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst41|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X25_Y18_N13 -cycloneii_lcell_ff \inst|inst4|inst103|dffs[0] ( +// Location: LCFF_X25_Y14_N29 +cycloneii_lcell_ff \inst|inst4|inst41|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst102|$00000|auto_generated|result_node[0]~5_combout ), + .datain(\inst|inst4|inst41|dffs[2]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst51~combout ), + .ena(\inst|inst4|inst124|inst86~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst103|dffs [0])); + .regout(\inst|inst4|inst41|dffs [2])); -// Location: LCCOMB_X29_Y18_N28 -cycloneii_lcell_comb \inst|inst4|inst100|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X25_Y14_N4 +cycloneii_lcell_comb \inst|inst4|inst43|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst100|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst103|dffs [0]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst99|dffs [0])) +// \inst|inst4|inst43|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst41|dffs [2])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst45|dffs [2]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst41|dffs [2])) - .dataa(\inst|inst4|inst99|dffs [0]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst103|dffs [0]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst41|dffs [2]), + .datac(\inst|inst4|inst45|dffs [2]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst100|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst43|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst100|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst100|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst43|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst43|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y18_N16 -cycloneii_lcell_comb \inst|inst4|inst124|inst50 ( +// Location: LCCOMB_X25_Y14_N26 +cycloneii_lcell_comb \inst|inst4|inst42|dffs[2]~feeder ( // Equation(s): -// \inst|inst4|inst124|inst50~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & (!\inst|inst4|inst122~0_combout & -// \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [1]))) +// \inst|inst4|inst42|dffs[2]~feeder_combout = \inst|inst4|inst43|$00000|auto_generated|result_node[2]~2_combout - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datab(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datac(\inst|inst4|inst122~0_combout ), - .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [1]), + .dataa(vcc), + .datab(\inst|inst4|inst43|$00000|auto_generated|result_node[2]~2_combout ), + .datac(vcc), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst124|inst50~combout ), + .combout(\inst|inst4|inst42|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst50 .lut_mask = 16'h0100; -defparam \inst|inst4|inst124|inst50 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst42|dffs[2]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst42|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X29_Y18_N29 -cycloneii_lcell_ff \inst|inst4|inst101|dffs[0] ( +// Location: LCFF_X25_Y14_N27 +cycloneii_lcell_ff \inst|inst4|inst42|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst100|$00000|auto_generated|result_node[0]~5_combout ), - .sdata(\~GND~combout ), + .datain(\inst|inst4|inst42|dffs[2]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst50~combout ), + .ena(\inst|inst4|inst124|inst85~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst101|dffs [0])); + .regout(\inst|inst4|inst42|dffs [2])); -// Location: LCCOMB_X29_Y18_N30 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~134 ( +// Location: LCCOMB_X23_Y14_N2 +cycloneii_lcell_comb \inst|inst4|inst51|dffs[2]~feeder ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~134_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~133_combout & ((\inst|inst4|inst103|dffs [0]) # ((!\addr~combout [1])))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~133_combout & (((\inst|inst4|inst101|dffs [0] & \addr~combout [1])))) +// \inst|inst4|inst51|dffs[2]~feeder_combout = \inst|inst4|inst50|$00000|auto_generated|result_node[2]~2_combout - .dataa(\inst|inst4|inst103|dffs [0]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~133_combout ), - .datac(\inst|inst4|inst101|dffs [0]), - .datad(\addr~combout [1]), + .dataa(\inst|inst4|inst50|$00000|auto_generated|result_node[2]~2_combout ), + .datab(vcc), + .datac(vcc), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~134_combout ), + .combout(\inst|inst4|inst51|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~134 .lut_mask = 16'hB8CC; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~134 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst51|dffs[2]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst51|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y16_N14 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~157 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~157_combout = ((!\addr~combout [3] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~134_combout & !\addr~combout [2]))) # (!\addr~combout [4]) - - .dataa(\addr~combout [4]), - .datab(\addr~combout [3]), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~134_combout ), - .datad(\addr~combout [2]), - .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~157_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~157 .lut_mask = 16'h5575; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~157 .sum_lutc_input = "datac"; -// synopsys translate_on +// Location: LCFF_X23_Y14_N3 +cycloneii_lcell_ff \inst|inst4|inst51|dffs[2] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst51|dffs[2]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst81~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst51|dffs [2])); -// Location: LCCOMB_X31_Y16_N12 -cycloneii_lcell_comb \inst|inst4|inst66|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X25_Y14_N6 +cycloneii_lcell_comb \inst|inst4|inst48|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst66|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst68|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst65|dffs [0]))) +// \inst|inst4|inst48|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst47|dffs [2])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst51|dffs [2]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst47|dffs [2])))) - .dataa(\inst|inst4|inst68|dffs [0]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst65|dffs [0]), + .dataa(\inst|inst4|inst47|dffs [2]), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst51|dffs [2]), .cin(gnd), - .combout(\inst|inst4|inst66|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst48|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst66|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst66|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst48|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hBA8A; +defparam \inst|inst4|inst48|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y16_N4 -cycloneii_lcell_comb \inst|inst4|inst124|inst33 ( +// Location: LCCOMB_X24_Y15_N24 +cycloneii_lcell_comb \inst|inst4|inst49|dffs[2]~feeder ( // Equation(s): -// \inst|inst4|inst124|inst33~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst4|inst122~0_combout & (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [18] & -// !\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ))) +// \inst|inst4|inst49|dffs[2]~feeder_combout = \inst|inst4|inst48|$00000|auto_generated|result_node[2]~2_combout - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [18]), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst48|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst33~combout ), + .combout(\inst|inst4|inst49|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst33 .lut_mask = 16'h0010; -defparam \inst|inst4|inst124|inst33 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst49|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst49|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X31_Y16_N13 -cycloneii_lcell_ff \inst|inst4|inst67|dffs[0] ( +// Location: LCFF_X24_Y15_N25 +cycloneii_lcell_ff \inst|inst4|inst49|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst66|$00000|auto_generated|result_node[0]~5_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst49|dffs[2]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst33~combout ), + .ena(\inst|inst4|inst124|inst82~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst67|dffs [0])); + .regout(\inst|inst4|inst49|dffs [2])); -// Location: LCCOMB_X31_Y16_N8 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~142 ( +// Location: LCCOMB_X25_Y14_N0 +cycloneii_lcell_comb \inst|inst4|inst46|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~142_combout = (\addr~combout [0] & (((\addr~combout [1]) # (\inst|inst4|inst67|dffs [0])))) # (!\addr~combout [0] & (\inst|inst4|inst65|dffs [0] & (!\addr~combout [1]))) +// \inst|inst4|inst46|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst45|dffs [2])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst49|dffs [2]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst45|dffs [2])) - .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst65|dffs [0]), - .datac(\addr~combout [1]), - .datad(\inst|inst4|inst67|dffs [0]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst45|dffs [2]), + .datac(\inst|inst4|inst49|dffs [2]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~142_combout ), + .combout(\inst|inst4|inst46|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~142 .lut_mask = 16'hAEA4; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~142 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst46|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst46|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y16_N22 -cycloneii_lcell_comb \inst|inst4|inst72|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X25_Y15_N16 +cycloneii_lcell_comb \inst|inst4|inst47|dffs[2]~feeder ( // Equation(s): -// \inst|inst4|inst72|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst75|dffs [0])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst71|dffs [0]))) +// \inst|inst4|inst47|dffs[2]~feeder_combout = \inst|inst4|inst46|$00000|auto_generated|result_node[2]~2_combout - .dataa(\inst|inst4|inst75|dffs [0]), - .datab(\inst|inst4|inst71|dffs [0]), + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst108~combout ), - .cin(gnd), - .combout(\inst|inst4|inst72|$00000|auto_generated|result_node[0]~5_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst72|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst72|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X25_Y16_N4 -cycloneii_lcell_comb \inst|inst4|inst124|inst36 ( -// Equation(s): -// \inst|inst4|inst124|inst36~combout = (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [15] & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst4|inst122~0_combout & -// !\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ))) - - .dataa(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [15]), - .datab(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datac(\inst|inst4|inst122~0_combout ), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .datad(\inst|inst4|inst46|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst36~combout ), + .combout(\inst|inst4|inst47|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst36 .lut_mask = 16'h0002; -defparam \inst|inst4|inst124|inst36 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst47|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst47|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X25_Y16_N23 -cycloneii_lcell_ff \inst|inst4|inst73|dffs[0] ( +// Location: LCFF_X25_Y15_N17 +cycloneii_lcell_ff \inst|inst4|inst47|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst72|$00000|auto_generated|result_node[0]~5_combout ), - .sdata(\~GND~combout ), + .datain(\inst|inst4|inst47|dffs[2]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst36~combout ), + .ena(\inst|inst4|inst124|inst83~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst73|dffs [0])); + .regout(\inst|inst4|inst47|dffs [2])); -// Location: LCCOMB_X26_Y16_N6 -cycloneii_lcell_comb \inst|inst4|inst70|$00000|auto_generated|result_node[0]~5 ( +// Location: LCCOMB_X25_Y14_N10 +cycloneii_lcell_comb \inst|inst4|inst44|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst70|$00000|auto_generated|result_node[0]~5_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst73|dffs [0]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst68|dffs [0])) +// \inst|inst4|inst44|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst42|dffs [2])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst47|dffs [2]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst42|dffs [2])) - .dataa(\inst|inst4|inst68|dffs [0]), - .datab(\inst|inst4|inst73|dffs [0]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst42|dffs [2]), + .datac(\inst|inst4|inst47|dffs [2]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst70|$00000|auto_generated|result_node[0]~5_combout ), + .combout(\inst|inst4|inst44|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst70|$00000|auto_generated|result_node[0]~5 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst70|$00000|auto_generated|result_node[0]~5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst44|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst44|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y16_N28 -cycloneii_lcell_comb \inst|inst4|inst124|inst35 ( +// Location: LCCOMB_X25_Y15_N22 +cycloneii_lcell_comb \inst|inst4|inst45|dffs[2]~feeder ( // Equation(s): -// \inst|inst4|inst124|inst35~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [16] & (!\inst|inst4|inst122~0_combout & -// !\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ))) +// \inst|inst4|inst45|dffs[2]~feeder_combout = \inst|inst4|inst44|$00000|auto_generated|result_node[2]~2_combout - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datab(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [16]), - .datac(\inst|inst4|inst122~0_combout ), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst44|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst35~combout ), + .combout(\inst|inst4|inst45|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst35 .lut_mask = 16'h0004; -defparam \inst|inst4|inst124|inst35 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst45|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst45|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X26_Y16_N7 -cycloneii_lcell_ff \inst|inst4|inst71|dffs[0] ( +// Location: LCFF_X25_Y15_N23 +cycloneii_lcell_ff \inst|inst4|inst45|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst70|$00000|auto_generated|result_node[0]~5_combout ), + .datain(\inst|inst4|inst45|dffs[2]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst35~combout ), + .ena(\inst|inst4|inst124|inst84~1_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst71|dffs [0])); + .regout(\inst|inst4|inst45|dffs [2])); -// Location: LCCOMB_X31_Y16_N26 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~143 ( +// Location: LCCOMB_X25_Y14_N2 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~66 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~143_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~142_combout & (((\inst|inst4|inst71|dffs [0]) # (!\addr~combout [1])))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~142_combout & (\inst|inst4|inst68|dffs [0] & (\addr~combout [1]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~66_combout = (\addr~combout [1] & (((\addr~combout [0])))) # (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst42|dffs [2]))) # (!\addr~combout [0] & (\inst|inst4|inst41|dffs [2])))) - .dataa(\inst|inst4|inst68|dffs [0]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~142_combout ), + .dataa(\inst|inst4|inst41|dffs [2]), + .datab(\inst|inst4|inst42|dffs [2]), .datac(\addr~combout [1]), - .datad(\inst|inst4|inst71|dffs [0]), + .datad(\addr~combout [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~143_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~66_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~143 .lut_mask = 16'hEC2C; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~143 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~66 .lut_mask = 16'hFC0A; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~66 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y16_N22 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~144 ( +// Location: LCCOMB_X25_Y14_N20 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~67 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~144_combout = (\addr~combout [5] & ((\addr~combout [4]) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~143_combout -// )))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~67_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~66_combout & (\inst|inst4|inst47|dffs [2])) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~66_combout & ((\inst|inst4|inst45|dffs [2]))))) # (!\addr~combout [1] & (((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~66_combout )))) - .dataa(\addr~combout [5]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout ), - .datac(\addr~combout [4]), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~143_combout ), + .dataa(\inst|inst4|inst47|dffs [2]), + .datab(\addr~combout [1]), + .datac(\inst|inst4|inst45|dffs [2]), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~66_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~144_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~67_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~144 .lut_mask = 16'hA8A0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~144 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~67 .lut_mask = 16'hBBC0; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~67 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y17_N22 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~148 ( +// Location: LCCOMB_X23_Y13_N30 +cycloneii_lcell_comb \inst|inst4|inst32|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~148_combout = (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst82|dffs [0])) # (!\addr~combout [0] & ((\inst|inst4|inst81|dffs [0]))))) +// \inst|inst4|inst32|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst31|dffs [2])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst35|dffs [2]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst31|dffs [2])))) - .dataa(\addr~combout [1]), - .datab(\inst|inst4|inst82|dffs [0]), - .datac(\addr~combout [0]), - .datad(\inst|inst4|inst81|dffs [0]), + .dataa(\inst|inst4|inst31|dffs [2]), + .datab(\inst|inst4|inst35|dffs [2]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~148_combout ), + .combout(\inst|inst4|inst32|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~148 .lut_mask = 16'h4540; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~148 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst32|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hACAA; +defparam \inst|inst4|inst32|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y17_N20 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~149 ( +// Location: LCCOMB_X31_Y12_N24 +cycloneii_lcell_comb \inst|inst4|inst33|dffs[2]~feeder ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~149_combout = (\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst87|dffs [0])) # (!\addr~combout [0] & ((\inst|inst4|inst85|dffs [0]))))) +// \inst|inst4|inst33|dffs[2]~feeder_combout = \inst|inst4|inst32|$00000|auto_generated|result_node[2]~2_combout - .dataa(\inst|inst4|inst87|dffs [0]), - .datab(\inst|inst4|inst85|dffs [0]), - .datac(\addr~combout [0]), - .datad(\addr~combout [1]), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst32|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~149_combout ), + .combout(\inst|inst4|inst33|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~149 .lut_mask = 16'hAC00; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~149 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst33|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst33|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y16_N8 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~150 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~150_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~147_combout ) # ((!\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~148_combout ) # -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~149_combout )))) - - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~147_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~148_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~149_combout ), - .datad(\addr~combout [2]), - .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~150_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~150 .lut_mask = 16'hAAFE; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~150 .sum_lutc_input = "datac"; -// synopsys translate_on +// Location: LCFF_X31_Y12_N25 +cycloneii_lcell_ff \inst|inst4|inst33|dffs[2] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst33|dffs[2]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst90~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst33|dffs [2])); -// Location: LCCOMB_X30_Y16_N2 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~151 ( +// Location: LCCOMB_X25_Y14_N18 +cycloneii_lcell_comb \inst|inst4|inst36|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~151_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~141_combout ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~144_combout ) # -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~150_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout ))) +// \inst|inst4|inst36|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst35|dffs [2]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst39|dffs [2])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst35|dffs [2])))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~141_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~144_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~150_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout ), + .dataa(\inst|inst4|inst39|dffs [2]), + .datab(\inst|inst4|inst35|dffs [2]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~151_combout ), + .combout(\inst|inst4|inst36|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~151 .lut_mask = 16'hFEEE; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~151 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst36|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hCCAC; +defparam \inst|inst4|inst36|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y16_N4 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102 ( +// Location: LCCOMB_X25_Y11_N4 +cycloneii_lcell_comb \inst|inst4|inst37|dffs[2]~feeder ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~93_combout ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~151_combout & -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~157_combout ))) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~151_combout & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~101_combout ))) +// \inst|inst4|inst37|dffs[2]~feeder_combout = \inst|inst4|inst36|$00000|auto_generated|result_node[2]~2_combout - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~93_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~101_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~157_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~151_combout ), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst36|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout ), + .combout(\inst|inst4|inst37|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102 .lut_mask = 16'hFAEE; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst37|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst37|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y18_N30 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~152 ( +// Location: LCFF_X25_Y11_N5 +cycloneii_lcell_ff \inst|inst4|inst37|dffs[2] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst37|dffs[2]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst88~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst37|dffs [2])); + +// Location: LCCOMB_X25_Y13_N6 +cycloneii_lcell_comb \inst|inst4|inst34|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~152_combout = ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~18_combout & (!\addr~combout [3] & !\addr~combout [2]))) # (!\addr~combout [4]) +// \inst|inst4|inst34|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst33|dffs [2])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst37|dffs [2]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst33|dffs [2])) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~18_combout ), - .datab(\addr~combout [3]), - .datac(\addr~combout [4]), - .datad(\addr~combout [2]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst33|dffs [2]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst37|dffs [2]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~152_combout ), + .combout(\inst|inst4|inst34|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~152 .lut_mask = 16'h0F2F; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~152 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst34|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hCEC4; +defparam \inst|inst4|inst34|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y17_N0 -cycloneii_lcell_comb \inst|inst4|inst80|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X25_Y13_N20 +cycloneii_lcell_comb \inst|inst4|inst35|dffs[2]~feeder ( // Equation(s): -// \inst|inst4|inst80|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst82|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst79|dffs [4]))) +// \inst|inst4|inst35|dffs[2]~feeder_combout = \inst|inst4|inst34|$00000|auto_generated|result_node[2]~2_combout - .dataa(\inst|inst4|inst82|dffs [4]), - .datab(\inst|inst4|inst108~combout ), + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst79|dffs [4]), + .datad(\inst|inst4|inst34|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\inst|inst4|inst80|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst35|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst80|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst80|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst35|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst35|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y17_N1 -cycloneii_lcell_ff \inst|inst4|inst81|dffs[4] ( +// Location: LCFF_X25_Y13_N21 +cycloneii_lcell_ff \inst|inst4|inst35|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst80|$00000|auto_generated|result_node[4]~0_combout ), + .datain(\inst|inst4|inst35|dffs[2]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst40~combout ), + .ena(\inst|inst4|inst124|inst89~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst81|dffs [4])); + .regout(\inst|inst4|inst35|dffs [2])); -// Location: LCCOMB_X25_Y17_N16 -cycloneii_lcell_comb \inst|inst4|inst78|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X23_Y13_N12 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~68 ( // Equation(s): -// \inst|inst4|inst78|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst81|dffs [4]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst77|dffs [4])) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~68_combout = (\addr~combout [1] & (((\addr~combout [0])))) # (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst35|dffs [2]))) # (!\addr~combout [0] & (\inst|inst4|inst33|dffs [2])))) - .dataa(\inst|inst4|inst77|dffs [4]), - .datab(\inst|inst4|inst81|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst33|dffs [2]), + .datab(\addr~combout [1]), + .datac(\addr~combout [0]), + .datad(\inst|inst4|inst35|dffs [2]), .cin(gnd), - .combout(\inst|inst4|inst78|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~68_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst78|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst78|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~68 .lut_mask = 16'hF2C2; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~68 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y17_N0 -cycloneii_lcell_comb \inst|inst4|inst124|inst39 ( +// Location: LCCOMB_X25_Y14_N22 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~69 ( // Equation(s): -// \inst|inst4|inst124|inst39~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & -// \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [12]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~69_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~68_combout & ((\inst|inst4|inst39|dffs [2]) # ((!\addr~combout [1])))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~68_combout & (((\addr~combout [1] & \inst|inst4|inst37|dffs [2])))) - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [12]), + .dataa(\inst|inst4|inst39|dffs [2]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~68_combout ), + .datac(\addr~combout [1]), + .datad(\inst|inst4|inst37|dffs [2]), .cin(gnd), - .combout(\inst|inst4|inst124|inst39~combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~69_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst39 .lut_mask = 16'h0100; -defparam \inst|inst4|inst124|inst39 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~69 .lut_mask = 16'hBC8C; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~69 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X25_Y17_N17 -cycloneii_lcell_ff \inst|inst4|inst79|dffs[4] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst78|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst39~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst79|dffs [4])); +// Location: LCCOMB_X27_Y13_N8 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~43 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~43_combout = (!\addr~combout [3] & ((\addr~combout [2] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~67_combout )) # (!\addr~combout [2] & +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~69_combout ))))) -// Location: LCCOMB_X25_Y18_N26 -cycloneii_lcell_comb \inst|inst4|inst76|$00000|auto_generated|result_node[4]~0 ( + .dataa(\addr~combout [3]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~67_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~69_combout ), + .datad(\addr~combout [2]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~43_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~43 .lut_mask = 16'h4450; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~43 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X25_Y14_N8 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~47 ( // Equation(s): -// \inst|inst4|inst76|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst79|dffs [4]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst75|dffs [4])) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~47_combout = (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst51|dffs [2]))) # (!\addr~combout [0] & (\inst|inst4|inst49|dffs [2])))) - .dataa(\inst|inst4|inst75|dffs [4]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst79|dffs [4]), + .dataa(\inst|inst4|inst49|dffs [2]), + .datab(\addr~combout [0]), + .datac(\addr~combout [1]), + .datad(\inst|inst4|inst51|dffs [2]), .cin(gnd), - .combout(\inst|inst4|inst76|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~47_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst76|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst76|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~47 .lut_mask = 16'h0E02; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~47 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y18_N10 -cycloneii_lcell_comb \inst|inst4|inst124|inst38 ( +// Location: LCCOMB_X23_Y12_N30 +cycloneii_lcell_comb \inst|inst4|inst58|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst124|inst38~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & -// \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [13]))) +// \inst|inst4|inst58|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst57|dffs [2]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst61|dffs [2])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst57|dffs [2])))) - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [13]), + .dataa(\inst|inst4|inst61|dffs [2]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst57|dffs [2]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst124|inst38~combout ), + .combout(\inst|inst4|inst58|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst38 .lut_mask = 16'h0100; -defparam \inst|inst4|inst124|inst38 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst58|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hF0B8; +defparam \inst|inst4|inst58|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X25_Y18_N27 -cycloneii_lcell_ff \inst|inst4|inst77|dffs[4] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst76|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst38~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst77|dffs [4])); - -// Location: LCCOMB_X27_Y16_N2 -cycloneii_lcell_comb \inst|inst4|inst66|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X23_Y12_N0 +cycloneii_lcell_comb \inst|inst4|inst59|dffs[2]~feeder ( // Equation(s): -// \inst|inst4|inst66|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst68|dffs [4]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst65|dffs [4])) +// \inst|inst4|inst59|dffs[2]~feeder_combout = \inst|inst4|inst58|$00000|auto_generated|result_node[2]~2_combout - .dataa(\inst|inst4|inst65|dffs [4]), - .datab(\inst|inst4|inst68|dffs [4]), + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .datad(\inst|inst4|inst58|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\inst|inst4|inst66|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst59|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst66|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst66|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst59|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst59|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X27_Y16_N3 -cycloneii_lcell_ff \inst|inst4|inst67|dffs[4] ( +// Location: LCFF_X23_Y12_N1 +cycloneii_lcell_ff \inst|inst4|inst59|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst66|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\~GND~combout ), + .datain(\inst|inst4|inst59|dffs[2]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst33~combout ), + .ena(\inst|inst4|inst124|inst77~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst67|dffs [4])); + .regout(\inst|inst4|inst59|dffs [2])); -// Location: LCCOMB_X27_Y16_N24 -cycloneii_lcell_comb \inst|inst4|inst69|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X22_Y14_N10 +cycloneii_lcell_comb \inst|inst4|inst56|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst69|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst71|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst67|dffs [4]))) +// \inst|inst4|inst56|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst54|dffs [2])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst59|dffs [2]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst54|dffs [2])) - .dataa(\inst|inst4|inst71|dffs [4]), - .datab(\inst|inst4|inst67|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst54|dffs [2]), + .datab(\inst|inst4|inst59|dffs [2]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst69|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst56|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst69|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst69|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst56|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hAACA; +defparam \inst|inst4|inst56|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y16_N2 -cycloneii_lcell_comb \inst|inst4|inst124|inst34 ( +// Location: LCCOMB_X22_Y14_N26 +cycloneii_lcell_comb \inst|inst4|inst57|dffs[2]~feeder ( // Equation(s): -// \inst|inst4|inst124|inst34~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [17] & (!\inst|inst4|inst122~0_combout & -// !\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ))) +// \inst|inst4|inst57|dffs[2]~feeder_combout = \inst|inst4|inst56|$00000|auto_generated|result_node[2]~2_combout - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datab(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [17]), - .datac(\inst|inst4|inst122~0_combout ), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst56|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst34~combout ), + .combout(\inst|inst4|inst57|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst34 .lut_mask = 16'h0004; -defparam \inst|inst4|inst124|inst34 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst57|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst57|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X27_Y16_N25 -cycloneii_lcell_ff \inst|inst4|inst68|dffs[4] ( +// Location: LCFF_X22_Y14_N27 +cycloneii_lcell_ff \inst|inst4|inst57|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst69|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\~GND~combout ), + .datain(\inst|inst4|inst57|dffs[2]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst34~combout ), + .ena(\inst|inst4|inst124|inst78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst68|dffs [4])); + .regout(\inst|inst4|inst57|dffs [2])); -// Location: LCCOMB_X26_Y16_N8 -cycloneii_lcell_comb \inst|inst4|inst70|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X23_Y12_N24 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~44 ( // Equation(s): -// \inst|inst4|inst70|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst73|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst68|dffs [4]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~44_combout = (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst59|dffs [2]))) # (!\addr~combout [0] & (\inst|inst4|inst57|dffs [2])))) - .dataa(\inst|inst4|inst73|dffs [4]), - .datab(\inst|inst4|inst68|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\addr~combout [1]), + .datab(\addr~combout [0]), + .datac(\inst|inst4|inst57|dffs [2]), + .datad(\inst|inst4|inst59|dffs [2]), .cin(gnd), - .combout(\inst|inst4|inst70|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~44_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst70|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst70|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~44 .lut_mask = 16'h5410; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~44 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X26_Y16_N9 -cycloneii_lcell_ff \inst|inst4|inst71|dffs[4] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst70|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst35~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst71|dffs [4])); - -// Location: LCCOMB_X25_Y16_N10 -cycloneii_lcell_comb \inst|inst4|inst72|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X22_Y12_N30 +cycloneii_lcell_comb \inst|inst4|inst65|dffs[2]~feeder ( // Equation(s): -// \inst|inst4|inst72|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst75|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst71|dffs [4]))) +// \inst|inst4|inst65|dffs[2]~feeder_combout = \inst|inst4|inst64|$00000|auto_generated|result_node[2]~2_combout - .dataa(\inst|inst4|inst75|dffs [4]), - .datab(\inst|inst4|inst71|dffs [4]), + .dataa(\inst|inst4|inst64|$00000|auto_generated|result_node[2]~2_combout ), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst72|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst65|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst72|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst72|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst65|dffs[2]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst65|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X25_Y16_N11 -cycloneii_lcell_ff \inst|inst4|inst73|dffs[4] ( +// Location: LCFF_X22_Y12_N31 +cycloneii_lcell_ff \inst|inst4|inst65|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst72|$00000|auto_generated|result_node[4]~0_combout ), + .datain(\inst|inst4|inst65|dffs[2]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst36~combout ), + .ena(\inst|inst4|inst124|inst74~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst73|dffs [4])); + .regout(\inst|inst4|inst65|dffs [2])); -// Location: LCCOMB_X25_Y16_N8 -cycloneii_lcell_comb \inst|inst4|inst74|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X23_Y13_N24 +cycloneii_lcell_comb \inst|inst4|inst62|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst74|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst77|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst73|dffs [4]))) +// \inst|inst4|inst62|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst61|dffs [2])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst65|dffs [2]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst61|dffs [2])) - .dataa(\inst|inst4|inst77|dffs [4]), - .datab(\inst|inst4|inst73|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst61|dffs [2]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst65|dffs [2]), .cin(gnd), - .combout(\inst|inst4|inst74|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst62|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst74|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst74|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst62|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hAEA2; +defparam \inst|inst4|inst62|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y16_N2 -cycloneii_lcell_comb \inst|inst4|inst124|inst37 ( +// Location: LCCOMB_X22_Y13_N16 +cycloneii_lcell_comb \inst|inst4|inst63|dffs[2]~feeder ( // Equation(s): -// \inst|inst4|inst124|inst37~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & (!\inst|inst4|inst122~0_combout & (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [14] & -// !\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ))) +// \inst|inst4|inst63|dffs[2]~feeder_combout = \inst|inst4|inst62|$00000|auto_generated|result_node[2]~2_combout - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [14]), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst62|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst37~combout ), + .combout(\inst|inst4|inst63|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst37 .lut_mask = 16'h0010; -defparam \inst|inst4|inst124|inst37 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst63|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst63|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X25_Y16_N9 -cycloneii_lcell_ff \inst|inst4|inst75|dffs[4] ( +// Location: LCFF_X22_Y13_N17 +cycloneii_lcell_ff \inst|inst4|inst63|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst74|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\~GND~combout ), + .datain(\inst|inst4|inst63|dffs[2]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst37~combout ), + .ena(\inst|inst4|inst124|inst75~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst75|dffs [4])); + .regout(\inst|inst4|inst63|dffs [2])); -// Location: LCCOMB_X26_Y16_N0 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~23 ( +// Location: LCCOMB_X23_Y13_N22 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~45 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~23_combout = (\addr~combout [0] & (((\addr~combout [1]) # (\inst|inst4|inst75|dffs [4])))) # (!\addr~combout [0] & (\inst|inst4|inst73|dffs [4] & (!\addr~combout [1]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~45_combout = (\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst63|dffs [2]))) # (!\addr~combout [0] & (\inst|inst4|inst61|dffs [2])))) - .dataa(\inst|inst4|inst73|dffs [4]), + .dataa(\inst|inst4|inst61|dffs [2]), .datab(\addr~combout [0]), .datac(\addr~combout [1]), - .datad(\inst|inst4|inst75|dffs [4]), + .datad(\inst|inst4|inst63|dffs [2]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~23_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~45_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~23 .lut_mask = 16'hCEC2; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~23 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~45 .lut_mask = 16'hE020; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~45 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y17_N6 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~24 ( +// Location: LCCOMB_X23_Y14_N20 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~46 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~24_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~23_combout & ((\inst|inst4|inst79|dffs [4]))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~23_combout & (\inst|inst4|inst77|dffs [4])))) # (!\addr~combout [1] & (((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~23_combout )))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~46_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~44_combout ) # +// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~45_combout ))) - .dataa(\addr~combout [1]), - .datab(\inst|inst4|inst77|dffs [4]), - .datac(\inst|inst4|inst79|dffs [4]), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~23_combout ), + .dataa(vcc), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~44_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~45_combout ), + .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~24_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~46_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~24 .lut_mask = 16'hF588; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~24 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~46 .lut_mask = 16'hFC00; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~46 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y16_N26 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~25 ( +// Location: LCCOMB_X23_Y14_N16 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~49 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~25_combout = (!\addr~combout [3] & (\addr~combout [2] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~24_combout & \addr~combout [5]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~49_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~46_combout ) # ((!\addr~combout [2] & +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~48_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~47_combout )))) - .dataa(\addr~combout [3]), - .datab(\addr~combout [2]), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~24_combout ), - .datad(\addr~combout [5]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~48_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~47_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~46_combout ), + .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~25_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~49_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~25 .lut_mask = 16'h4000; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~25 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~49 .lut_mask = 16'hF0FE; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~49 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y17_N8 -cycloneii_lcell_comb \inst|inst4|inst86|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X27_Y13_N2 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~50 ( // Equation(s): -// \inst|inst4|inst86|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst89|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst85|dffs [4]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~50_combout = (\addr~combout [4] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~43_combout ) # ((\addr~combout [3] & +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~49_combout )))) - .dataa(\inst|inst4|inst89|dffs [4]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst85|dffs [4]), + .dataa(\addr~combout [3]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~43_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~49_combout ), + .datad(\addr~combout [4]), .cin(gnd), - .combout(\inst|inst4|inst86|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~50_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst86|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst86|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~50 .lut_mask = 16'hEC00; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~50 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X26_Y17_N9 -cycloneii_lcell_ff \inst|inst4|inst87|dffs[4] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst86|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst43~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst87|dffs [4])); +// Location: LCCOMB_X23_Y13_N6 +cycloneii_lcell_comb \inst|inst4|inst66|$00000|auto_generated|result_node[2]~2 ( +// Equation(s): +// \inst|inst4|inst66|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst65|dffs [2])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst68|dffs [2]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst65|dffs [2])))) -// Location: LCCOMB_X24_Y17_N2 -cycloneii_lcell_comb \inst|inst4|inst84|$00000|auto_generated|result_node[4]~0 ( + .dataa(\inst|inst4|inst65|dffs [2]), + .datab(\inst|inst4|inst68|dffs [2]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst66|$00000|auto_generated|result_node[2]~2_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst66|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hACAA; +defparam \inst|inst4|inst66|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X23_Y13_N8 +cycloneii_lcell_comb \inst|inst4|inst67|dffs[2]~feeder ( // Equation(s): -// \inst|inst4|inst84|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst87|dffs [4]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst82|dffs [4])) +// \inst|inst4|inst67|dffs[2]~feeder_combout = \inst|inst4|inst66|$00000|auto_generated|result_node[2]~2_combout - .dataa(\inst|inst4|inst82|dffs [4]), - .datab(\inst|inst4|inst108~combout ), + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst87|dffs [4]), + .datad(\inst|inst4|inst66|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\inst|inst4|inst84|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst67|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst84|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst84|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst67|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst67|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y17_N3 -cycloneii_lcell_ff \inst|inst4|inst85|dffs[4] ( +// Location: LCFF_X23_Y13_N9 +cycloneii_lcell_ff \inst|inst4|inst67|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst84|$00000|auto_generated|result_node[4]~0_combout ), + .datain(\inst|inst4|inst67|dffs[2]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst42~combout ), + .ena(\inst|inst4|inst124|inst73~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst85|dffs [4])); + .regout(\inst|inst4|inst67|dffs [2])); -// Location: LCCOMB_X24_Y17_N30 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~33 ( +// Location: LCCOMB_X23_Y13_N28 +cycloneii_lcell_comb \inst|inst4|inst69|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~33_combout = (\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst87|dffs [4])) # (!\addr~combout [0] & ((\inst|inst4|inst85|dffs [4]))))) +// \inst|inst4|inst69|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst67|dffs [2])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst71|dffs [2])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst67|dffs [2]))))) - .dataa(\inst|inst4|inst87|dffs [4]), - .datab(\inst|inst4|inst85|dffs [4]), - .datac(\addr~combout [0]), - .datad(\addr~combout [1]), + .dataa(\inst|inst4|inst71|dffs [2]), + .datab(\inst|inst4|inst67|dffs [2]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~33_combout ), + .combout(\inst|inst4|inst69|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~33 .lut_mask = 16'hAC00; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~33 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst69|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hCACC; +defparam \inst|inst4|inst69|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y17_N26 -cycloneii_lcell_comb \inst|inst4|inst83|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X22_Y11_N22 +cycloneii_lcell_comb \inst|inst4|inst68|dffs[2]~feeder ( // Equation(s): -// \inst|inst4|inst83|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst85|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst81|dffs [4]))) +// \inst|inst4|inst68|dffs[2]~feeder_combout = \inst|inst4|inst69|$00000|auto_generated|result_node[2]~2_combout - .dataa(\inst|inst4|inst85|dffs [4]), - .datab(\inst|inst4|inst81|dffs [4]), + .dataa(vcc), + .datab(\inst|inst4|inst69|$00000|auto_generated|result_node[2]~2_combout ), .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst83|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst68|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst83|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst83|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst68|dffs[2]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst68|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X25_Y17_N27 -cycloneii_lcell_ff \inst|inst4|inst82|dffs[4] ( +// Location: LCFF_X22_Y11_N23 +cycloneii_lcell_ff \inst|inst4|inst68|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst83|$00000|auto_generated|result_node[4]~0_combout ), + .datain(\inst|inst4|inst68|dffs[2]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst41~combout ), + .ena(\inst|inst4|inst124|inst72~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst82|dffs [4])); + .regout(\inst|inst4|inst68|dffs [2])); -// Location: LCCOMB_X24_Y17_N4 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~32 ( +// Location: LCCOMB_X23_Y13_N0 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~73 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~32_combout = (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst82|dffs [4]))) # (!\addr~combout [0] & (\inst|inst4|inst81|dffs [4])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~73_combout = (\addr~combout [0] & ((\inst|inst4|inst67|dffs [2]) # ((\addr~combout [1])))) # (!\addr~combout [0] & (((!\addr~combout [1] & \inst|inst4|inst65|dffs [2])))) - .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst81|dffs [4]), - .datac(\inst|inst4|inst82|dffs [4]), - .datad(\addr~combout [1]), + .dataa(\inst|inst4|inst67|dffs [2]), + .datab(\addr~combout [0]), + .datac(\addr~combout [1]), + .datad(\inst|inst4|inst65|dffs [2]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~32_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~73_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~32 .lut_mask = 16'h00E4; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~32 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~73 .lut_mask = 16'hCBC8; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~73 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y17_N2 -cycloneii_lcell_comb \inst|inst4|inst88|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X23_Y13_N18 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~74 ( // Equation(s): -// \inst|inst4|inst88|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst91|dffs [4]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst87|dffs [4])) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~74_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~73_combout & (\inst|inst4|inst71|dffs [2])) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~73_combout & ((\inst|inst4|inst68|dffs [2]))))) # (!\addr~combout [1] & (((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~73_combout )))) - .dataa(\inst|inst4|inst87|dffs [4]), - .datab(\inst|inst4|inst91|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst71|dffs [2]), + .datab(\inst|inst4|inst68|dffs [2]), + .datac(\addr~combout [1]), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~73_combout ), .cin(gnd), - .combout(\inst|inst4|inst88|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~74_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst88|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst88|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~74 .lut_mask = 16'hAFC0; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~74 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X27_Y17_N3 -cycloneii_lcell_ff \inst|inst4|inst89|dffs[4] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst88|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst44~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst89|dffs [4])); - -// Location: LCCOMB_X27_Y17_N8 -cycloneii_lcell_comb \inst|inst4|inst90|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X27_Y13_N14 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~75 ( // Equation(s): -// \inst|inst4|inst90|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst93|dffs [4]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst89|dffs [4])) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~75_combout = (\addr~combout [5] & ((\addr~combout [4]) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~74_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout )))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst89|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst93|dffs [4]), + .dataa(\addr~combout [4]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~74_combout ), + .datac(\addr~combout [5]), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout ), .cin(gnd), - .combout(\inst|inst4|inst90|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~75_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst90|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst90|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~75 .lut_mask = 16'hE0A0; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~75 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X27_Y17_N9 -cycloneii_lcell_ff \inst|inst4|inst91|dffs[4] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst90|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst45~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst91|dffs [4])); - -// Location: LCCOMB_X27_Y18_N10 -cycloneii_lcell_comb \inst|inst4|inst92|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X22_Y10_N28 +cycloneii_lcell_comb \inst|inst4|inst71|dffs[2]~feeder ( // Equation(s): -// \inst|inst4|inst92|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst95|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst91|dffs [4]))) +// \inst|inst4|inst71|dffs[2]~feeder_combout = \inst|inst4|inst70|$00000|auto_generated|result_node[2]~2_combout - .dataa(\inst|inst4|inst95|dffs [4]), - .datab(\inst|inst4|inst91|dffs [4]), + .dataa(\inst|inst4|inst70|$00000|auto_generated|result_node[2]~2_combout ), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst92|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst71|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst92|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst92|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst71|dffs[2]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst71|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X27_Y18_N11 -cycloneii_lcell_ff \inst|inst4|inst93|dffs[4] ( +// Location: LCFF_X22_Y10_N29 +cycloneii_lcell_ff \inst|inst4|inst71|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst92|$00000|auto_generated|result_node[4]~0_combout ), + .datain(\inst|inst4|inst71|dffs[2]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst46~combout ), + .ena(\inst|inst4|inst124|inst71~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst93|dffs [4])); + .regout(\inst|inst4|inst71|dffs [2])); -// Location: LCCOMB_X27_Y18_N16 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~30 ( +// Location: LCCOMB_X23_Y13_N2 +cycloneii_lcell_comb \inst|inst4|inst72|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~30_combout = (\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst95|dffs [4])) # (!\addr~combout [0] & ((\inst|inst4|inst93|dffs [4]))))) +// \inst|inst4|inst72|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst71|dffs [2])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst75|dffs [2])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst71|dffs [2]))))) - .dataa(\inst|inst4|inst95|dffs [4]), - .datab(\addr~combout [0]), - .datac(\addr~combout [1]), - .datad(\inst|inst4|inst93|dffs [4]), + .dataa(\inst|inst4|inst75|dffs [2]), + .datab(\inst|inst4|inst71|dffs [2]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~30_combout ), + .combout(\inst|inst4|inst72|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~30 .lut_mask = 16'hB080; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~30 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst72|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hCACC; +defparam \inst|inst4|inst72|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y17_N10 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~31 ( +// Location: LCCOMB_X24_Y10_N2 +cycloneii_lcell_comb \inst|inst4|inst73|dffs[2]~feeder ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~31_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~29_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~30_combout ))) +// \inst|inst4|inst73|dffs[2]~feeder_combout = \inst|inst4|inst72|$00000|auto_generated|result_node[2]~2_combout - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~29_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~30_combout ), - .datac(\addr~combout [2]), - .datad(vcc), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst72|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~31_combout ), + .combout(\inst|inst4|inst73|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~31 .lut_mask = 16'hE0E0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~31 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst73|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst73|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y17_N16 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~34 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~34_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~31_combout ) # ((!\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~33_combout ) # -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~32_combout )))) - - .dataa(\addr~combout [2]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~33_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~32_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~31_combout ), - .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~34_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~34 .lut_mask = 16'hFF54; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~34 .sum_lutc_input = "datac"; -// synopsys translate_on +// Location: LCFF_X24_Y10_N3 +cycloneii_lcell_ff \inst|inst4|inst73|dffs[2] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst73|dffs[2]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst70~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst73|dffs [2])); -// Location: LCCOMB_X30_Y16_N24 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~36 ( +// Location: LCCOMB_X25_Y10_N12 +cycloneii_lcell_comb \inst|inst4|inst78|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~36_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~28_combout ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~25_combout ) # -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~34_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout ))) +// \inst|inst4|inst78|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst77|dffs [2]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst81|dffs [2])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst77|dffs [2])))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~28_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~25_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~34_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout ), + .dataa(\inst|inst4|inst81|dffs [2]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst77|dffs [2]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~36_combout ), + .combout(\inst|inst4|inst78|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~36 .lut_mask = 16'hFEEE; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~36 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst78|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hF0B8; +defparam \inst|inst4|inst78|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y18_N26 -cycloneii_lcell_comb \inst|inst4|inst34|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X27_Y10_N16 +cycloneii_lcell_comb \inst|inst4|inst79|dffs[2]~feeder ( // Equation(s): -// \inst|inst4|inst34|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst37|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst33|dffs [4]))) +// \inst|inst4|inst79|dffs[2]~feeder_combout = \inst|inst4|inst78|$00000|auto_generated|result_node[2]~2_combout - .dataa(\inst|inst4|inst37|dffs [4]), - .datab(\inst|inst4|inst33|dffs [4]), + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .datad(\inst|inst4|inst78|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\inst|inst4|inst34|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst79|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst34|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst34|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst79|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst79|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X31_Y18_N27 -cycloneii_lcell_ff \inst|inst4|inst35|dffs[4] ( +// Location: LCFF_X27_Y10_N17 +cycloneii_lcell_ff \inst|inst4|inst79|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst34|$00000|auto_generated|result_node[4]~0_combout ), + .datain(\inst|inst4|inst79|dffs[2]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst17~combout ), + .ena(\inst|inst4|inst124|inst67~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst35|dffs [4])); + .regout(\inst|inst4|inst79|dffs [2])); -// Location: LCCOMB_X32_Y18_N24 -cycloneii_lcell_comb \inst|inst4|inst32|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X25_Y10_N8 +cycloneii_lcell_comb \inst|inst4|inst76|$00000|auto_generated|result_node[2]~2 ( +// Equation(s): +// \inst|inst4|inst76|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst75|dffs [2])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst79|dffs [2])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst75|dffs [2]))))) + + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst79|dffs [2]), + .datac(\inst|inst4|inst75|dffs [2]), + .datad(\inst|inst4|inst108~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst76|$00000|auto_generated|result_node[2]~2_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst76|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hE4F0; +defparam \inst|inst4|inst76|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X25_Y10_N16 +cycloneii_lcell_comb \inst|inst4|inst77|dffs[2]~feeder ( // Equation(s): -// \inst|inst4|inst32|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst35|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst31|dffs [4]))) +// \inst|inst4|inst77|dffs[2]~feeder_combout = \inst|inst4|inst76|$00000|auto_generated|result_node[2]~2_combout - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst35|dffs [4]), + .dataa(vcc), + .datab(\inst|inst4|inst76|$00000|auto_generated|result_node[2]~2_combout ), .datac(vcc), - .datad(\inst|inst4|inst31|dffs [4]), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst32|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst77|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst32|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst32|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst77|dffs[2]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst77|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X32_Y18_N25 -cycloneii_lcell_ff \inst|inst4|inst33|dffs[4] ( +// Location: LCFF_X25_Y10_N17 +cycloneii_lcell_ff \inst|inst4|inst77|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst32|$00000|auto_generated|result_node[4]~0_combout ), + .datain(\inst|inst4|inst77|dffs[2]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst16~combout ), + .ena(\inst|inst4|inst124|inst68~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst33|dffs [4])); + .regout(\inst|inst4|inst77|dffs [2])); -// Location: LCCOMB_X33_Y18_N0 -cycloneii_lcell_comb \inst|inst4|inst30|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X25_Y10_N18 +cycloneii_lcell_comb \inst|inst4|inst74|$00000|auto_generated|result_node[2]~2 ( // Equation(s): -// \inst|inst4|inst30|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst33|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst28|dffs [4]))) +// \inst|inst4|inst74|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst73|dffs [2])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst77|dffs [2]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst73|dffs [2])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst33|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst28|dffs [4]), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst73|dffs [2]), + .datac(\inst|inst4|inst77|dffs [2]), + .datad(\inst|inst4|inst108~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst30|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst74|$00000|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst30|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst30|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst74|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hD8CC; +defparam \inst|inst4|inst74|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y18_N8 -cycloneii_lcell_comb \inst|inst4|inst124|inst15 ( +// Location: LCCOMB_X24_Y10_N24 +cycloneii_lcell_comb \inst|inst4|inst75|dffs[2]~feeder ( // Equation(s): -// \inst|inst4|inst124|inst15~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst4|inst122~0_combout & -// \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [36]))) +// \inst|inst4|inst75|dffs[2]~feeder_combout = \inst|inst4|inst74|$00000|auto_generated|result_node[2]~2_combout - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datab(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datac(\inst|inst4|inst122~0_combout ), - .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [36]), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst74|$00000|auto_generated|result_node[2]~2_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst15~combout ), + .combout(\inst|inst4|inst75|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst15 .lut_mask = 16'h0100; -defparam \inst|inst4|inst124|inst15 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst75|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst75|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X33_Y18_N1 -cycloneii_lcell_ff \inst|inst4|inst31|dffs[4] ( +// Location: LCFF_X24_Y10_N25 +cycloneii_lcell_ff \inst|inst4|inst75|dffs[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst30|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\~GND~combout ), + .datain(\inst|inst4|inst75|dffs[2]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst15~combout ), + .ena(\inst|inst4|inst124|inst69~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst31|dffs [4])); + .regout(\inst|inst4|inst75|dffs [2])); -// Location: LCCOMB_X33_Y16_N2 -cycloneii_lcell_comb \inst|inst4|inst29|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X25_Y10_N30 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~70 ( // Equation(s): -// \inst|inst4|inst29|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst31|dffs [4]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst27|dffs [4])) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~70_combout = (\addr~combout [0] & ((\inst|inst4|inst75|dffs [2]) # ((\addr~combout [1])))) # (!\addr~combout [0] & (((\inst|inst4|inst73|dffs [2] & !\addr~combout [1])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst27|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst31|dffs [4]), + .dataa(\addr~combout [0]), + .datab(\inst|inst4|inst75|dffs [2]), + .datac(\inst|inst4|inst73|dffs [2]), + .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst4|inst29|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~70_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst29|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst29|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~70 .lut_mask = 16'hAAD8; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~70 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X37_Y17_N2 -cycloneii_lcell_comb \inst|inst4|inst124|inst14 ( +// Location: LCCOMB_X25_Y10_N0 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~71 ( // Equation(s): -// \inst|inst4|inst124|inst14~combout = (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [37] & (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & -// !\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~71_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~70_combout & ((\inst|inst4|inst79|dffs [2]))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~70_combout & (\inst|inst4|inst77|dffs [2])))) # (!\addr~combout [1] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~70_combout )) - .dataa(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [37]), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .dataa(\addr~combout [1]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~70_combout ), + .datac(\inst|inst4|inst77|dffs [2]), + .datad(\inst|inst4|inst79|dffs [2]), .cin(gnd), - .combout(\inst|inst4|inst124|inst14~combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~71_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst14 .lut_mask = 16'h0002; -defparam \inst|inst4|inst124|inst14 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~71 .lut_mask = 16'hEC64; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~71 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X33_Y16_N3 -cycloneii_lcell_ff \inst|inst4|inst28|dffs[4] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst29|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst14~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst28|dffs [4])); - -// Location: LCCOMB_X32_Y15_N0 -cycloneii_lcell_comb \inst|inst4|inst8|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X27_Y13_N4 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~72 ( // Equation(s): -// \inst|inst4|inst8|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst11|dffs [4]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst7|dffs [4])) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~72_combout = (!\addr~combout [3] & (\addr~combout [5] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~71_combout & \addr~combout [2]))) - .dataa(\inst|inst4|inst7|dffs [4]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst11|dffs [4]), + .dataa(\addr~combout [3]), + .datab(\addr~combout [5]), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~71_combout ), + .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst8|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~72_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst8|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst8|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~72 .lut_mask = 16'h4000; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~72 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X32_Y15_N1 -cycloneii_lcell_ff \inst|inst4|inst9|dffs[4] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst8|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst4~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst9|dffs [4])); - -// Location: LCCOMB_X36_Y15_N2 -cycloneii_lcell_comb \inst|inst4|inst10|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X27_Y13_N26 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~82 ( // Equation(s): -// \inst|inst4|inst10|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst13|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst9|dffs [4]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~82_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~75_combout ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~72_combout ) # +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~81_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout ))) - .dataa(\inst|inst4|inst13|dffs [4]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst9|dffs [4]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~81_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~75_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~72_combout ), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout ), .cin(gnd), - .combout(\inst|inst4|inst10|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~82_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst10|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst10|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~82 .lut_mask = 16'hFEFC; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~82 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X37_Y17_N10 -cycloneii_lcell_comb \inst|inst4|inst124|inst5 ( +// Location: LCCOMB_X27_Y13_N20 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51 ( // Equation(s): -// \inst|inst4|inst124|inst5~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & (!\inst|inst4|inst122~0_combout & -// \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [46]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~42_combout ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~82_combout & +// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~154_combout )) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~82_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~50_combout )))) - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datab(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datac(\inst|inst4|inst122~0_combout ), - .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [46]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~42_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~154_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~50_combout ), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~82_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst5~combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst5 .lut_mask = 16'h0100; -defparam \inst|inst4|inst124|inst5 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51 .lut_mask = 16'hEEFA; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X36_Y15_N3 -cycloneii_lcell_ff \inst|inst4|inst11|dffs[4] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst10|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst5~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst11|dffs [4])); - -// Location: LCCOMB_X36_Y15_N24 -cycloneii_lcell_comb \inst|inst4|inst12|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X27_Y19_N0 +cycloneii_lcell_comb \inst|inst5|inst5|inst3|inst3~0 ( // Equation(s): -// \inst|inst4|inst12|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst14|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst11|dffs [4]))) +// \inst|inst5|inst5|inst3|inst3~0_combout = (\inst|inst5|inst|inst5|inst2~2_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ))) +// # (!\inst|inst5|inst|inst5|inst2~2_combout & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout )) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst14|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst11|dffs [4]), + .dataa(\inst|inst5|inst|inst5|inst2~2_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst12|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst5|inst5|inst3|inst3~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst12|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst12|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst5|inst5|inst3|inst3~0 .lut_mask = 16'hE8E8; +defparam \inst|inst5|inst5|inst3|inst3~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X37_Y17_N16 -cycloneii_lcell_comb \inst|inst4|inst124|inst6 ( +// Location: LCCOMB_X27_Y19_N22 +cycloneii_lcell_comb \inst|inst5|inst5|inst3|inst3~3 ( // Equation(s): -// \inst|inst4|inst124|inst6~combout = (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [45] & (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & -// !\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ))) +// \inst|inst5|inst5|inst3|inst3~3_combout = (\inst|inst5|inst5|inst3|inst3~2_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & ((!\inst|inst5|inst5|inst3|inst3~0_combout ) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout ))) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout ) # +// (\inst|inst5|inst5|inst3|inst3~0_combout ))))) # (!\inst|inst5|inst5|inst3|inst3~2_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout ) # (\inst|inst5|inst5|inst3|inst3~0_combout ))) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & +// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout & \inst|inst5|inst5|inst3|inst3~0_combout )))) - .dataa(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [45]), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .dataa(\inst|inst5|inst5|inst3|inst3~2_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout ), + .datad(\inst|inst5|inst5|inst3|inst3~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst6~combout ), + .combout(\inst|inst5|inst5|inst3|inst3~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst6 .lut_mask = 16'h0002; -defparam \inst|inst4|inst124|inst6 .sum_lutc_input = "datac"; +defparam \inst|inst5|inst5|inst3|inst3~3 .lut_mask = 16'h7EE8; +defparam \inst|inst5|inst5|inst3|inst3~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X36_Y15_N25 -cycloneii_lcell_ff \inst|inst4|inst13|dffs[4] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst12|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst6~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst13|dffs [4])); - -// Location: LCCOMB_X35_Y15_N24 -cycloneii_lcell_comb \inst|inst4|inst15|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X26_Y19_N6 +cycloneii_lcell_comb \inst|inst7|Mux0~4 ( // Equation(s): -// \inst|inst4|inst15|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst17|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst13|dffs [4]))) +// \inst|inst7|Mux0~4_combout = (\inst|inst7|Mux0~2_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout $ (\inst|inst5|inst5|inst3|inst3~3_combout )) # (!\inst|inst7|Mux0~3_combout ))) # (!\inst|inst7|Mux0~2_combout +// & (!\inst|inst7|Mux0~3_combout & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout $ (!\inst|inst5|inst5|inst3|inst3~3_combout )))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst17|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst13|dffs [4]), + .dataa(\inst|inst7|Mux0~2_combout ), + .datab(\inst|inst7|Mux0~3_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout ), + .datad(\inst|inst5|inst5|inst3|inst3~3_combout ), .cin(gnd), - .combout(\inst|inst4|inst15|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst7|Mux0~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst15|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst15|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst7|Mux0~4 .lut_mask = 16'h3AA3; +defparam \inst|inst7|Mux0~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X37_Y17_N4 -cycloneii_lcell_comb \inst|inst4|inst124|inst7 ( +// Location: LCCOMB_X25_Y19_N0 +cycloneii_lcell_comb \inst|inst5|inst5|inst3|inst3~4 ( // Equation(s): -// \inst|inst4|inst124|inst7~combout = (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [44] & (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & -// !\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ))) +// \inst|inst5|inst5|inst3|inst3~4_combout = \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout $ (\inst|inst5|inst5|inst3|inst3~3_combout ) - .dataa(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [44]), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .dataa(vcc), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout ), + .datac(\inst|inst5|inst5|inst3|inst3~3_combout ), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst124|inst7~combout ), + .combout(\inst|inst5|inst5|inst3|inst3~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst7 .lut_mask = 16'h0002; -defparam \inst|inst4|inst124|inst7 .sum_lutc_input = "datac"; +defparam \inst|inst5|inst5|inst3|inst3~4 .lut_mask = 16'h3C3C; +defparam \inst|inst5|inst5|inst3|inst3~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X35_Y15_N25 -cycloneii_lcell_ff \inst|inst4|inst14|dffs[4] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst15|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst7~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst14|dffs [4])); - -// Location: LCCOMB_X34_Y15_N16 -cycloneii_lcell_comb \inst|inst4|inst16|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X25_Y19_N12 +cycloneii_lcell_comb \inst|inst7|Mux1~0 ( // Equation(s): -// \inst|inst4|inst16|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst19|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst14|dffs [4]))) +// \inst|inst7|Mux1~0_combout = (\inst|inst5|inst5|inst1|inst3~combout & ((\mode_segment~combout & (!\inst|inst5|inst5|inst|inst~combout )) # (!\mode_segment~combout & ((\inst|inst5|inst5|inst|inst~combout ) # (!\inst|inst5|inst5|inst2|inst3~combout +// ))))) # (!\inst|inst5|inst5|inst1|inst3~combout & (((\inst|inst5|inst5|inst|inst~combout & !\inst|inst5|inst5|inst2|inst3~combout )))) - .dataa(\inst|inst4|inst19|dffs [4]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst14|dffs [4]), + .dataa(\mode_segment~combout ), + .datab(\inst|inst5|inst5|inst1|inst3~combout ), + .datac(\inst|inst5|inst5|inst|inst~combout ), + .datad(\inst|inst5|inst5|inst2|inst3~combout ), .cin(gnd), - .combout(\inst|inst4|inst16|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst7|Mux1~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst16|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst16|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst7|Mux1~0 .lut_mask = 16'h487C; +defparam \inst|inst7|Mux1~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y14_N22 -cycloneii_lcell_comb \inst|inst4|inst124|inst8 ( +// Location: LCCOMB_X25_Y19_N6 +cycloneii_lcell_comb \inst|inst7|Mux1~1 ( // Equation(s): -// \inst|inst4|inst124|inst8~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & -// \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [43]))) +// \inst|inst7|Mux1~1_combout = (\inst|inst5|inst5|inst3|inst3~4_combout & ((\inst|inst5|inst5|inst|inst~combout & ((!\inst|inst7|Mux1~0_combout ))) # (!\inst|inst5|inst5|inst|inst~combout & (\mode_segment~combout & \inst|inst7|Mux1~0_combout )))) # +// (!\inst|inst5|inst5|inst3|inst3~4_combout & (((\inst|inst7|Mux1~0_combout )))) - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [43]), + .dataa(\mode_segment~combout ), + .datab(\inst|inst5|inst5|inst3|inst3~4_combout ), + .datac(\inst|inst5|inst5|inst|inst~combout ), + .datad(\inst|inst7|Mux1~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst8~combout ), + .combout(\inst|inst7|Mux1~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst8 .lut_mask = 16'h0100; -defparam \inst|inst4|inst124|inst8 .sum_lutc_input = "datac"; +defparam \inst|inst7|Mux1~1 .lut_mask = 16'h3BC0; +defparam \inst|inst7|Mux1~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X34_Y15_N17 -cycloneii_lcell_ff \inst|inst4|inst17|dffs[4] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst16|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst8~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst17|dffs [4])); - -// Location: LCCOMB_X35_Y15_N18 -cycloneii_lcell_comb \inst|inst4|inst18|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X26_Y19_N12 +cycloneii_lcell_comb \inst|inst7|Mux2~2 ( // Equation(s): -// \inst|inst4|inst18|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst21|dffs [4]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst17|dffs [4])) +// \inst|inst7|Mux2~2_combout = (\mode_segment~combout & (\inst|inst5|inst5|inst2|inst3~combout $ (((!\inst|inst5|inst5|inst1|inst3~combout & !\inst|inst5|inst5|inst|inst~combout ))))) # (!\mode_segment~combout & (!\inst|inst5|inst5|inst1|inst3~combout +// & (\inst|inst5|inst5|inst|inst~combout & !\inst|inst5|inst5|inst2|inst3~combout ))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst17|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst21|dffs [4]), + .dataa(\mode_segment~combout ), + .datab(\inst|inst5|inst5|inst1|inst3~combout ), + .datac(\inst|inst5|inst5|inst|inst~combout ), + .datad(\inst|inst5|inst5|inst2|inst3~combout ), .cin(gnd), - .combout(\inst|inst4|inst18|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst7|Mux2~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst18|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst18|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst7|Mux2~2 .lut_mask = 16'hA812; +defparam \inst|inst7|Mux2~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X37_Y17_N6 -cycloneii_lcell_comb \inst|inst4|inst124|inst9 ( +// Location: LCCOMB_X26_Y19_N30 +cycloneii_lcell_comb \inst|inst7|Mux2~3 ( // Equation(s): -// \inst|inst4|inst124|inst9~combout = (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [42] & (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & -// !\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ))) +// \inst|inst7|Mux2~3_combout = (\mode_segment~combout & ((\inst|inst5|inst5|inst2|inst3~combout & ((!\inst|inst5|inst5|inst|inst~combout ))) # (!\inst|inst5|inst5|inst2|inst3~combout & (\inst|inst5|inst5|inst1|inst3~combout )))) # +// (!\mode_segment~combout & ((\inst|inst5|inst5|inst|inst~combout ) # ((!\inst|inst5|inst5|inst1|inst3~combout & \inst|inst5|inst5|inst2|inst3~combout )))) - .dataa(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [42]), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .dataa(\mode_segment~combout ), + .datab(\inst|inst5|inst5|inst1|inst3~combout ), + .datac(\inst|inst5|inst5|inst|inst~combout ), + .datad(\inst|inst5|inst5|inst2|inst3~combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst9~combout ), + .combout(\inst|inst7|Mux2~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst9 .lut_mask = 16'h0002; -defparam \inst|inst4|inst124|inst9 .sum_lutc_input = "datac"; +defparam \inst|inst7|Mux2~3 .lut_mask = 16'h5BD8; +defparam \inst|inst7|Mux2~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X35_Y15_N19 -cycloneii_lcell_ff \inst|inst4|inst19|dffs[4] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst18|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst9~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst19|dffs [4])); - -// Location: LCCOMB_X34_Y16_N18 -cycloneii_lcell_comb \inst|inst4|inst20|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X26_Y19_N0 +cycloneii_lcell_comb \inst|inst7|Mux2~4 ( // Equation(s): -// \inst|inst4|inst20|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst23|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst19|dffs [4]))) +// \inst|inst7|Mux2~4_combout = (\inst|inst7|Mux2~2_combout & ((\inst|inst7|Mux2~3_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout $ (\inst|inst5|inst5|inst3|inst3~3_combout )))) # (!\inst|inst7|Mux2~2_combout +// & (\inst|inst7|Mux2~3_combout & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout $ (!\inst|inst5|inst5|inst3|inst3~3_combout )))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst23|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst19|dffs [4]), + .dataa(\inst|inst7|Mux2~2_combout ), + .datab(\inst|inst7|Mux2~3_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout ), + .datad(\inst|inst5|inst5|inst3|inst3~3_combout ), .cin(gnd), - .combout(\inst|inst4|inst20|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst7|Mux2~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst20|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst20|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst7|Mux2~4 .lut_mask = 16'hCAAC; +defparam \inst|inst7|Mux2~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y16_N14 -cycloneii_lcell_comb \inst|inst4|inst124|inst10 ( +// Location: LCCOMB_X25_Y19_N28 +cycloneii_lcell_comb \inst|inst7|Mux3~1 ( // Equation(s): -// \inst|inst4|inst124|inst10~combout = (!\inst|inst4|inst122~0_combout & (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [41] & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & -// !\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ))) +// \inst|inst7|Mux3~1_combout = (\inst|inst5|inst5|inst|inst~combout & ((\mode_segment~combout & (!\inst|inst5|inst5|inst3|inst3~4_combout )) # (!\mode_segment~combout & ((!\inst|inst5|inst5|inst1|inst3~combout ))))) # +// (!\inst|inst5|inst5|inst|inst~combout & (\mode_segment~combout $ (((\inst|inst5|inst5|inst3|inst3~4_combout ) # (\inst|inst5|inst5|inst1|inst3~combout ))))) - .dataa(\inst|inst4|inst122~0_combout ), - .datab(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [41]), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .dataa(\mode_segment~combout ), + .datab(\inst|inst5|inst5|inst3|inst3~4_combout ), + .datac(\inst|inst5|inst5|inst|inst~combout ), + .datad(\inst|inst5|inst5|inst1|inst3~combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst10~combout ), + .combout(\inst|inst7|Mux3~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst10 .lut_mask = 16'h0004; -defparam \inst|inst4|inst124|inst10 .sum_lutc_input = "datac"; +defparam \inst|inst7|Mux3~1 .lut_mask = 16'h2576; +defparam \inst|inst7|Mux3~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X34_Y16_N19 -cycloneii_lcell_ff \inst|inst4|inst21|dffs[4] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst20|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst10~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst21|dffs [4])); - -// Location: LCCOMB_X34_Y16_N8 -cycloneii_lcell_comb \inst|inst4|inst22|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X25_Y19_N18 +cycloneii_lcell_comb \inst|inst7|Mux3~0 ( // Equation(s): -// \inst|inst4|inst22|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst25|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst21|dffs [4]))) +// \inst|inst7|Mux3~0_combout = (\mode_segment~combout & (!\inst|inst5|inst5|inst3|inst3~4_combout & (\inst|inst5|inst5|inst|inst~combout $ (!\inst|inst5|inst5|inst1|inst3~combout )))) # (!\mode_segment~combout & +// ((\inst|inst5|inst5|inst3|inst3~4_combout & (!\inst|inst5|inst5|inst|inst~combout & \inst|inst5|inst5|inst1|inst3~combout )) # (!\inst|inst5|inst5|inst3|inst3~4_combout & (\inst|inst5|inst5|inst|inst~combout & !\inst|inst5|inst5|inst1|inst3~combout +// )))) - .dataa(\inst|inst4|inst25|dffs [4]), - .datab(\inst|inst4|inst21|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\mode_segment~combout ), + .datab(\inst|inst5|inst5|inst3|inst3~4_combout ), + .datac(\inst|inst5|inst5|inst|inst~combout ), + .datad(\inst|inst5|inst5|inst1|inst3~combout ), .cin(gnd), - .combout(\inst|inst4|inst22|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst7|Mux3~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst22|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst22|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst7|Mux3~0 .lut_mask = 16'h2412; +defparam \inst|inst7|Mux3~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y16_N20 -cycloneii_lcell_comb \inst|inst4|inst124|inst11 ( +// Location: LCCOMB_X25_Y19_N14 +cycloneii_lcell_comb \inst|inst7|Mux3~2 ( // Equation(s): -// \inst|inst4|inst124|inst11~combout = (!\inst|inst4|inst122~0_combout & (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [40] & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & -// !\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ))) +// \inst|inst7|Mux3~2_combout = (\inst|inst5|inst5|inst2|inst3~combout & (!\inst|inst7|Mux3~1_combout )) # (!\inst|inst5|inst5|inst2|inst3~combout & ((\inst|inst7|Mux3~0_combout ))) - .dataa(\inst|inst4|inst122~0_combout ), - .datab(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [40]), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .dataa(\inst|inst5|inst5|inst2|inst3~combout ), + .datab(\inst|inst7|Mux3~1_combout ), + .datac(vcc), + .datad(\inst|inst7|Mux3~0_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst11~combout ), + .combout(\inst|inst7|Mux3~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst11 .lut_mask = 16'h0004; -defparam \inst|inst4|inst124|inst11 .sum_lutc_input = "datac"; +defparam \inst|inst7|Mux3~2 .lut_mask = 16'h7722; +defparam \inst|inst7|Mux3~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X34_Y16_N9 -cycloneii_lcell_ff \inst|inst4|inst23|dffs[4] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst22|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst11~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst23|dffs [4])); - -// Location: LCCOMB_X35_Y16_N16 -cycloneii_lcell_comb \inst|inst4|inst24|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X26_Y19_N16 +cycloneii_lcell_comb \inst|inst7|Mux4~2 ( // Equation(s): -// \inst|inst4|inst24|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst27|dffs [4]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst23|dffs [4])) +// \inst|inst7|Mux4~2_combout = (\mode_segment~combout & (!\inst|inst5|inst5|inst1|inst3~combout & (\inst|inst5|inst5|inst|inst~combout $ (\inst|inst5|inst5|inst2|inst3~combout )))) # (!\mode_segment~combout & ((\inst|inst5|inst5|inst1|inst3~combout & +// (!\inst|inst5|inst5|inst|inst~combout & !\inst|inst5|inst5|inst2|inst3~combout )) # (!\inst|inst5|inst5|inst1|inst3~combout & (\inst|inst5|inst5|inst|inst~combout & \inst|inst5|inst5|inst2|inst3~combout )))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst23|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst27|dffs [4]), + .dataa(\mode_segment~combout ), + .datab(\inst|inst5|inst5|inst1|inst3~combout ), + .datac(\inst|inst5|inst5|inst|inst~combout ), + .datad(\inst|inst5|inst5|inst2|inst3~combout ), .cin(gnd), - .combout(\inst|inst4|inst24|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst7|Mux4~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst24|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst24|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst7|Mux4~2 .lut_mask = 16'h1224; +defparam \inst|inst7|Mux4~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X37_Y17_N28 -cycloneii_lcell_comb \inst|inst4|inst124|inst12 ( +// Location: LCCOMB_X26_Y19_N10 +cycloneii_lcell_comb \inst|inst7|Mux4~3 ( // Equation(s): -// \inst|inst4|inst124|inst12~combout = (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [39] & (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & -// !\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ))) +// \inst|inst7|Mux4~3_combout = (\inst|inst7|Mux4~2_combout & (!\inst|inst5|inst5|inst2|inst3~combout & (\inst|inst5|inst5|inst3|inst3~3_combout $ (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout )))) # +// (!\inst|inst7|Mux4~2_combout & (\inst|inst5|inst5|inst2|inst3~combout & (\inst|inst5|inst5|inst3|inst3~3_combout $ (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout )))) - .dataa(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [39]), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .dataa(\inst|inst7|Mux4~2_combout ), + .datab(\inst|inst5|inst5|inst3|inst3~3_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout ), + .datad(\inst|inst5|inst5|inst2|inst3~combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst12~combout ), + .combout(\inst|inst7|Mux4~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst12 .lut_mask = 16'h0002; -defparam \inst|inst4|inst124|inst12 .sum_lutc_input = "datac"; +defparam \inst|inst7|Mux4~3 .lut_mask = 16'h1482; +defparam \inst|inst7|Mux4~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X35_Y16_N17 -cycloneii_lcell_ff \inst|inst4|inst25|dffs[4] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst24|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst12~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst25|dffs [4])); - -// Location: LCCOMB_X33_Y16_N16 -cycloneii_lcell_comb \inst|inst4|inst26|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X25_Y19_N8 +cycloneii_lcell_comb \inst|inst7|Mux5~0 ( // Equation(s): -// \inst|inst4|inst26|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst28|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst25|dffs [4]))) +// \inst|inst7|Mux5~0_combout = (\mode_segment~combout & ((\inst|inst5|inst5|inst3|inst3~4_combout & (\inst|inst5|inst5|inst|inst~combout )) # (!\inst|inst5|inst5|inst3|inst3~4_combout & ((!\inst|inst5|inst5|inst1|inst3~combout ))))) # +// (!\mode_segment~combout & (\inst|inst5|inst5|inst3|inst3~4_combout $ (\inst|inst5|inst5|inst|inst~combout $ (\inst|inst5|inst5|inst1|inst3~combout )))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst28|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst25|dffs [4]), + .dataa(\mode_segment~combout ), + .datab(\inst|inst5|inst5|inst3|inst3~4_combout ), + .datac(\inst|inst5|inst5|inst|inst~combout ), + .datad(\inst|inst5|inst5|inst1|inst3~combout ), .cin(gnd), - .combout(\inst|inst4|inst26|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst7|Mux5~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst26|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst26|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst7|Mux5~0 .lut_mask = 16'hC1B6; +defparam \inst|inst7|Mux5~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X37_Y17_N8 -cycloneii_lcell_comb \inst|inst4|inst124|inst13 ( +// Location: LCCOMB_X25_Y19_N10 +cycloneii_lcell_comb \inst|inst7|Mux5~1 ( // Equation(s): -// \inst|inst4|inst124|inst13~combout = (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [38] & (!\inst|inst4|inst122~0_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & -// !\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ))) +// \inst|inst7|Mux5~1_combout = (\inst|inst5|inst5|inst2|inst3~combout & ((\inst|inst7|Mux5~0_combout ) # ((\inst|inst5|inst5|inst3|inst3~4_combout & \inst|inst5|inst5|inst1|inst3~combout )))) # (!\inst|inst5|inst5|inst2|inst3~combout & +// (\inst|inst5|inst5|inst3|inst3~4_combout & (\inst|inst7|Mux5~0_combout & \inst|inst5|inst5|inst1|inst3~combout ))) - .dataa(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [38]), - .datab(\inst|inst4|inst122~0_combout ), - .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .dataa(\inst|inst5|inst5|inst2|inst3~combout ), + .datab(\inst|inst5|inst5|inst3|inst3~4_combout ), + .datac(\inst|inst7|Mux5~0_combout ), + .datad(\inst|inst5|inst5|inst1|inst3~combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst13~combout ), + .combout(\inst|inst7|Mux5~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst13 .lut_mask = 16'h0002; -defparam \inst|inst4|inst124|inst13 .sum_lutc_input = "datac"; +defparam \inst|inst7|Mux5~1 .lut_mask = 16'hE8A0; +defparam \inst|inst7|Mux5~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X33_Y16_N17 -cycloneii_lcell_ff \inst|inst4|inst27|dffs[4] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst26|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst13~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst27|dffs [4])); - -// Location: LCCOMB_X33_Y16_N30 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~2 ( +// Location: LCCOMB_X26_Y19_N20 +cycloneii_lcell_comb \inst|inst7|Mux6~3 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~2_combout = (\addr~combout [0] & ((\addr~combout [1] & ((\inst|inst4|inst31|dffs [4]))) # (!\addr~combout [1] & (\inst|inst4|inst27|dffs [4])))) +// \inst|inst7|Mux6~3_combout = (\mode_segment~combout & (\inst|inst5|inst5|inst1|inst3~combout & (\inst|inst5|inst5|inst|inst~combout & !\inst|inst5|inst5|inst2|inst3~combout ))) # (!\mode_segment~combout & (!\inst|inst5|inst5|inst1|inst3~combout & +// (\inst|inst5|inst5|inst|inst~combout $ (\inst|inst5|inst5|inst2|inst3~combout )))) - .dataa(\addr~combout [1]), - .datab(\addr~combout [0]), - .datac(\inst|inst4|inst27|dffs [4]), - .datad(\inst|inst4|inst31|dffs [4]), + .dataa(\mode_segment~combout ), + .datab(\inst|inst5|inst5|inst1|inst3~combout ), + .datac(\inst|inst5|inst5|inst|inst~combout ), + .datad(\inst|inst5|inst5|inst2|inst3~combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~2_combout ), + .combout(\inst|inst7|Mux6~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~2 .lut_mask = 16'hC840; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~2 .sum_lutc_input = "datac"; +defparam \inst|inst7|Mux6~3 .lut_mask = 16'h0190; +defparam \inst|inst7|Mux6~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y16_N26 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~4 ( +// Location: LCCOMB_X26_Y19_N18 +cycloneii_lcell_comb \inst|inst7|Mux6~2 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~4_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~3_combout ) # -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~2_combout ))) +// \inst|inst7|Mux6~2_combout = (\mode_segment~combout & ((\inst|inst5|inst5|inst1|inst3~combout ) # ((\inst|inst5|inst5|inst2|inst3~combout )))) # (!\mode_segment~combout & (\inst|inst5|inst5|inst|inst~combout & (\inst|inst5|inst5|inst1|inst3~combout $ +// (\inst|inst5|inst5|inst2|inst3~combout )))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~3_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~2_combout ), - .datac(\addr~combout [2]), - .datad(vcc), + .dataa(\mode_segment~combout ), + .datab(\inst|inst5|inst5|inst1|inst3~combout ), + .datac(\inst|inst5|inst5|inst|inst~combout ), + .datad(\inst|inst5|inst5|inst2|inst3~combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~4_combout ), + .combout(\inst|inst7|Mux6~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~4 .lut_mask = 16'hE0E0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~4 .sum_lutc_input = "datac"; +defparam \inst|inst7|Mux6~2 .lut_mask = 16'hBAC8; +defparam \inst|inst7|Mux6~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y15_N8 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~6 ( +// Location: LCCOMB_X26_Y19_N4 +cycloneii_lcell_comb \inst|inst7|Mux6~4 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~6_combout = (!\addr~combout [0] & ((\addr~combout [1] & ((\inst|inst4|inst21|dffs [4]))) # (!\addr~combout [1] & (\inst|inst4|inst17|dffs [4])))) +// \inst|inst7|Mux6~4_combout = (\inst|inst7|Mux6~3_combout & ((\inst|inst7|Mux6~2_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout $ (!\inst|inst5|inst5|inst3|inst3~3_combout )))) # (!\inst|inst7|Mux6~3_combout +// & (\inst|inst7|Mux6~2_combout & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout $ (\inst|inst5|inst5|inst3|inst3~3_combout )))) - .dataa(\addr~combout [1]), - .datab(\addr~combout [0]), - .datac(\inst|inst4|inst17|dffs [4]), - .datad(\inst|inst4|inst21|dffs [4]), + .dataa(\inst|inst7|Mux6~3_combout ), + .datab(\inst|inst7|Mux6~2_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout ), + .datad(\inst|inst5|inst5|inst3|inst3~3_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~6_combout ), + .combout(\inst|inst7|Mux6~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~6 .lut_mask = 16'h3210; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~6 .sum_lutc_input = "datac"; +defparam \inst|inst7|Mux6~4 .lut_mask = 16'hACCA; +defparam \inst|inst7|Mux6~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y18_N8 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~7 ( +// Location: LCCOMB_X30_Y14_N12 +cycloneii_lcell_comb \inst|inst8|Mux1~0 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~7_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~4_combout ) # ((!\addr~combout [2] & -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~5_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~6_combout )))) +// \inst|inst8|Mux1~0_combout = (\mode~combout [1]) # (\mode~combout [0]) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~5_combout ), - .datab(\addr~combout [2]), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~4_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~6_combout ), + .dataa(vcc), + .datab(vcc), + .datac(\mode~combout [1]), + .datad(\mode~combout [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~7_combout ), + .combout(\inst|inst8|Mux1~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~7 .lut_mask = 16'hF3F2; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~7 .sum_lutc_input = "datac"; +defparam \inst|inst8|Mux1~0 .lut_mask = 16'hFFF0; +defparam \inst|inst8|Mux1~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y15_N16 -cycloneii_lcell_comb \inst|inst4|inst6|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X37_Y15_N18 +cycloneii_lcell_comb \inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~4 ( // Equation(s): -// \inst|inst4|inst6|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst9|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst5|dffs [4]))) +// \inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~4_combout = (!\inst|inst11|auto_generated|aneb_result_wire [0] & (!\inst|inst4|inst109~combout & \inst|inst4|inst115|auto_generated|safe_q [3])) - .dataa(\inst|inst4|inst9|dffs [4]), - .datab(\inst|inst4|inst5|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(vcc), + .datab(\inst|inst11|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst109~combout ), + .datad(\inst|inst4|inst115|auto_generated|safe_q [3]), .cin(gnd), - .combout(\inst|inst4|inst6|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst6|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst6|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~4 .lut_mask = 16'h0300; +defparam \inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X33_Y15_N17 -cycloneii_lcell_ff \inst|inst4|inst7|dffs[4] ( +// Location: LCFF_X37_Y15_N7 +cycloneii_lcell_ff \inst|inst4|inst115|auto_generated|counter_reg_bit1a[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst6|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .datain(\inst|inst4|inst115|auto_generated|counter_comb_bita3~combout ), + .sdata(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~4_combout ), + .aclr(gnd), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst3~combout ), + .sload(\inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1_combout ), + .ena(\inst|inst4|inst115|auto_generated|_~1_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst7|dffs [4])); + .regout(\inst|inst4|inst115|auto_generated|safe_q [3])); -// Location: LCCOMB_X33_Y14_N0 -cycloneii_lcell_comb \inst|inst4|inst4|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X26_Y14_N12 +cycloneii_lcell_comb \inst|inst4|inst36|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst4|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst7|dffs [4]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst2|dffs [4])) +// \inst|inst4|inst36|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst35|dffs [1])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst39|dffs [1]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst35|dffs [1])) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst2|dffs [4]), - .datac(vcc), - .datad(\inst|inst4|inst7|dffs [4]), + .dataa(\inst|inst4|inst35|dffs [1]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst39|dffs [1]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst4|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst36|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst4|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst4|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst36|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hAAE2; +defparam \inst|inst4|inst36|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X33_Y14_N1 -cycloneii_lcell_ff \inst|inst4|inst5|dffs[4] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst4|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst2~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst5|dffs [4])); - -// Location: LCCOMB_X33_Y14_N18 -cycloneii_lcell_comb \inst|inst4|inst3|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X25_Y11_N12 +cycloneii_lcell_comb \inst|inst4|inst37|dffs[1]~feeder ( // Equation(s): -// \inst|inst4|inst3|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst5|dffs [4])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst|dffs [4]))) +// \inst|inst4|inst37|dffs[1]~feeder_combout = \inst|inst4|inst36|$00000|auto_generated|result_node[1]~4_combout - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst5|dffs [4]), + .dataa(vcc), + .datab(\inst|inst4|inst36|$00000|auto_generated|result_node[1]~4_combout ), .datac(vcc), - .datad(\inst|inst4|inst|dffs [4]), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst3|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst37|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst3|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst3|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst37|dffs[1]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst37|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X33_Y14_N19 -cycloneii_lcell_ff \inst|inst4|inst2|dffs[4] ( +// Location: LCFF_X25_Y11_N13 +cycloneii_lcell_ff \inst|inst4|inst37|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst3|$00000|auto_generated|result_node[4]~0_combout ), - .sdata(\~GND~combout ), + .datain(\inst|inst4|inst37|dffs[1]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst1~combout ), + .ena(\inst|inst4|inst124|inst88~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst2|dffs [4])); + .regout(\inst|inst4|inst37|dffs [1])); -// Location: LCCOMB_X34_Y14_N24 -cycloneii_lcell_comb \inst|inst4|inst1|$00000|auto_generated|result_node[4]~0 ( +// Location: LCCOMB_X26_Y14_N2 +cycloneii_lcell_comb \inst|inst4|inst40|$00000|auto_generated|result_node[1]~4 ( +// Equation(s): +// \inst|inst4|inst40|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst39|dffs [1]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst42|dffs [1])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst39|dffs [1])))) + + .dataa(\inst|inst4|inst42|dffs [1]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst39|dffs [1]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst40|$00000|auto_generated|result_node[1]~4_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst40|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hF0B8; +defparam \inst|inst4|inst40|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X25_Y11_N10 +cycloneii_lcell_comb \inst|inst4|inst41|dffs[1]~feeder ( // Equation(s): -// \inst|inst4|inst1|$00000|auto_generated|result_node[4]~0_combout = (\inst|inst4|inst2|dffs [4] & \inst|inst4|inst108~combout ) +// \inst|inst4|inst41|dffs[1]~feeder_combout = \inst|inst4|inst40|$00000|auto_generated|result_node[1]~4_combout .dataa(vcc), - .datab(\inst|inst4|inst2|dffs [4]), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .datad(\inst|inst4|inst40|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\inst|inst4|inst1|$00000|auto_generated|result_node[4]~0_combout ), + .combout(\inst|inst4|inst41|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst1|$00000|auto_generated|result_node[4]~0 .lut_mask = 16'hCC00; -defparam \inst|inst4|inst1|$00000|auto_generated|result_node[4]~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst41|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst41|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X34_Y14_N25 -cycloneii_lcell_ff \inst|inst4|inst|dffs[4] ( +// Location: LCFF_X25_Y11_N11 +cycloneii_lcell_ff \inst|inst4|inst41|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst1|$00000|auto_generated|result_node[4]~0_combout ), + .datain(\inst|inst4|inst41|dffs[1]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst~combout ), + .ena(\inst|inst4|inst124|inst86~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst|dffs [4])); + .regout(\inst|inst4|inst41|dffs [1])); -// Location: LCCOMB_X33_Y14_N8 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~14 ( +// Location: LCCOMB_X25_Y13_N28 +cycloneii_lcell_comb \inst|inst4|inst38|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~14_combout = (\addr~combout [0] & (((\addr~combout [1]) # (\inst|inst4|inst2|dffs [4])))) # (!\addr~combout [0] & (\inst|inst4|inst|dffs [4] & (!\addr~combout [1]))) +// \inst|inst4|inst38|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst37|dffs [1])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst41|dffs [1]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst37|dffs [1])))) - .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst|dffs [4]), - .datac(\addr~combout [1]), - .datad(\inst|inst4|inst2|dffs [4]), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst37|dffs [1]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst4|inst41|dffs [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~14_combout ), + .combout(\inst|inst4|inst38|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~14 .lut_mask = 16'hAEA4; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~14 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst38|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hDC8C; +defparam \inst|inst4|inst38|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y14_N26 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~15 ( +// Location: LCCOMB_X25_Y13_N2 +cycloneii_lcell_comb \inst|inst4|inst39|dffs[1]~feeder ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~15_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~14_combout & ((\inst|inst4|inst7|dffs [4]) # ((!\addr~combout [1])))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~14_combout & (((\addr~combout [1] & \inst|inst4|inst5|dffs [4])))) +// \inst|inst4|inst39|dffs[1]~feeder_combout = \inst|inst4|inst38|$00000|auto_generated|result_node[1]~4_combout - .dataa(\inst|inst4|inst7|dffs [4]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~14_combout ), - .datac(\addr~combout [1]), - .datad(\inst|inst4|inst5|dffs [4]), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst38|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~15_combout ), + .combout(\inst|inst4|inst39|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~15 .lut_mask = 16'hBC8C; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~15 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst39|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst39|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X36_Y15_N16 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~12 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~12_combout = (\addr~combout [1] & (((\addr~combout [0])))) # (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst11|dffs [4]))) # (!\addr~combout [0] & (\inst|inst4|inst9|dffs [4])))) - - .dataa(\inst|inst4|inst9|dffs [4]), - .datab(\inst|inst4|inst11|dffs [4]), - .datac(\addr~combout [1]), - .datad(\addr~combout [0]), - .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~12_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~12 .lut_mask = 16'hFC0A; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~12 .sum_lutc_input = "datac"; -// synopsys translate_on +// Location: LCFF_X25_Y13_N3 +cycloneii_lcell_ff \inst|inst4|inst39|dffs[1] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst39|dffs[1]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst87~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst39|dffs [1])); -// Location: LCCOMB_X36_Y15_N26 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~13 ( +// Location: LCCOMB_X26_Y14_N22 +cycloneii_lcell_comb \inst|inst4|inst30|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~13_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~12_combout & ((\inst|inst4|inst14|dffs [4]))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~12_combout & (\inst|inst4|inst13|dffs [4])))) # (!\addr~combout [1] & (((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~12_combout )))) +// \inst|inst4|inst30|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst28|dffs [1])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst33|dffs [1]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst28|dffs [1])) - .dataa(\inst|inst4|inst13|dffs [4]), - .datab(\inst|inst4|inst14|dffs [4]), - .datac(\addr~combout [1]), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~12_combout ), + .dataa(\inst|inst4|inst28|dffs [1]), + .datab(\inst|inst4|inst33|dffs [1]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~13_combout ), + .combout(\inst|inst4|inst30|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~13 .lut_mask = 16'hCFA0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~13 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst30|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hAACA; +defparam \inst|inst4|inst30|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y18_N28 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~1 ( +// Location: LCCOMB_X31_Y13_N10 +cycloneii_lcell_comb \inst|inst4|inst31|dffs[1]~feeder ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~1_combout = (!\addr~combout [3] & ((\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~13_combout ))) # (!\addr~combout [2] & -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~15_combout )))) +// \inst|inst4|inst31|dffs[1]~feeder_combout = \inst|inst4|inst30|$00000|auto_generated|result_node[1]~4_combout - .dataa(\addr~combout [2]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~15_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~13_combout ), - .datad(\addr~combout [3]), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst30|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~1_combout ), + .combout(\inst|inst4|inst31|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~1 .lut_mask = 16'h00E4; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst31|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst31|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y18_N26 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~8 ( +// Location: LCFF_X31_Y13_N11 +cycloneii_lcell_ff \inst|inst4|inst31|dffs[1] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst31|dffs[1]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst91~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst31|dffs [1])); + +// Location: LCCOMB_X26_Y14_N30 +cycloneii_lcell_comb \inst|inst4|inst32|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~8_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~1_combout ) # -// ((\addr~combout [3] & \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~7_combout )))) +// \inst|inst4|inst32|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst31|dffs [1]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst35|dffs [1])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst31|dffs [1])))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout ), - .datab(\addr~combout [3]), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~7_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~1_combout ), + .dataa(\inst|inst4|inst35|dffs [1]), + .datab(\inst|inst4|inst31|dffs [1]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~8_combout ), + .combout(\inst|inst4|inst32|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~8 .lut_mask = 16'hAA80; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~8 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst32|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hCCAC; +defparam \inst|inst4|inst32|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X34_Y18_N12 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17 ( +// Location: LCCOMB_X26_Y14_N18 +cycloneii_lcell_comb \inst|inst4|inst33|dffs[1]~feeder ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~8_combout ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~36_combout & -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~152_combout ))) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~36_combout & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~16_combout ))) +// \inst|inst4|inst33|dffs[1]~feeder_combout = \inst|inst4|inst32|$00000|auto_generated|result_node[1]~4_combout - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~16_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~152_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~36_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~8_combout ), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst32|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .combout(\inst|inst4|inst33|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17 .lut_mask = 16'hFFCA; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst33|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst33|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y20_N26 -cycloneii_lcell_comb \inst|inst5|inst|inst5|inst2~1 ( +// Location: LCFF_X26_Y14_N19 +cycloneii_lcell_ff \inst|inst4|inst33|dffs[1] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst33|dffs[1]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst90~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst33|dffs [1])); + +// Location: LCCOMB_X25_Y13_N14 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~114 ( // Equation(s): -// \inst|inst5|inst|inst5|inst2~1_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ))) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & -// ((!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ))))) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout -// ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout & !\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout )))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~114_combout = (\addr~combout [0] & (((\addr~combout [1])))) # (!\addr~combout [0] & ((\addr~combout [1] & ((\inst|inst4|inst37|dffs [1]))) # (!\addr~combout [1] & (\inst|inst4|inst33|dffs [1])))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .dataa(\addr~combout [0]), + .datab(\inst|inst4|inst33|dffs [1]), + .datac(\inst|inst4|inst37|dffs [1]), + .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst5|inst|inst5|inst2~1_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~114_combout ), .cout()); // synopsys translate_off -defparam \inst|inst5|inst|inst5|inst2~1 .lut_mask = 16'hF58E; -defparam \inst|inst5|inst|inst5|inst2~1 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~114 .lut_mask = 16'hFA44; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~114 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y20_N8 -cycloneii_lcell_comb \inst|inst5|inst|inst5|inst2~0 ( +// Location: LCCOMB_X25_Y13_N24 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~115 ( // Equation(s): -// \inst|inst5|inst|inst5|inst2~0_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout & -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout )) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~115_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~114_combout & (((\inst|inst4|inst39|dffs [1]) # (!\addr~combout [0])))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~114_combout & (\inst|inst4|inst35|dffs [1] & ((\addr~combout [0])))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout ), - .datab(vcc), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .dataa(\inst|inst4|inst35|dffs [1]), + .datab(\inst|inst4|inst39|dffs [1]), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~114_combout ), + .datad(\addr~combout [0]), .cin(gnd), - .combout(\inst|inst5|inst|inst5|inst2~0_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~115_combout ), .cout()); // synopsys translate_off -defparam \inst|inst5|inst|inst5|inst2~0 .lut_mask = 16'hFAF0; -defparam \inst|inst5|inst|inst5|inst2~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~115 .lut_mask = 16'hCAF0; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~115 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y17_N18 -cycloneii_lcell_comb \inst|inst4|inst88|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X26_Y13_N4 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~77 ( // Equation(s): -// \inst|inst4|inst88|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst91|dffs [2]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst87|dffs [2])) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~77_combout = (!\addr~combout [3] & ((\addr~combout [2] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~113_combout )) # (!\addr~combout [2] & +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~115_combout ))))) - .dataa(\inst|inst4|inst87|dffs [2]), - .datab(\inst|inst4|inst91|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~113_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~115_combout ), + .datac(\addr~combout [3]), + .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst88|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~77_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst88|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst88|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X27_Y17_N19 -cycloneii_lcell_ff \inst|inst4|inst89|dffs[2] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst88|$00000|auto_generated|result_node[2]~2_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst44~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst89|dffs [2])); +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~77 .lut_mask = 16'h0A0C; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~77 .sum_lutc_input = "datac"; +// synopsys translate_on -// Location: LCCOMB_X27_Y17_N0 -cycloneii_lcell_comb \inst|inst4|inst90|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X22_Y14_N18 +cycloneii_lcell_comb \inst|inst4|inst54|dffs[1]~feeder ( // Equation(s): -// \inst|inst4|inst90|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst93|dffs [2]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst89|dffs [2])) +// \inst|inst4|inst54|dffs[1]~feeder_combout = \inst|inst4|inst55|$00000|auto_generated|result_node[1]~4_combout - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst89|dffs [2]), + .dataa(\inst|inst4|inst55|$00000|auto_generated|result_node[1]~4_combout ), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst93|dffs [2]), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst90|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst54|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst90|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst90|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst54|dffs[1]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst54|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X27_Y17_N1 -cycloneii_lcell_ff \inst|inst4|inst91|dffs[2] ( +// Location: LCFF_X22_Y14_N19 +cycloneii_lcell_ff \inst|inst4|inst54|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst90|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst54|dffs[1]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst45~combout ), + .ena(\inst|inst4|inst124|inst79~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst91|dffs [2])); + .regout(\inst|inst4|inst54|dffs [1])); -// Location: LCCOMB_X27_Y18_N26 -cycloneii_lcell_comb \inst|inst4|inst92|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X21_Y13_N16 +cycloneii_lcell_comb \inst|inst4|inst59|dffs[1]~feeder ( // Equation(s): -// \inst|inst4|inst92|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst95|dffs [2])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst91|dffs [2]))) +// \inst|inst4|inst59|dffs[1]~feeder_combout = \inst|inst4|inst58|$00000|auto_generated|result_node[1]~4_combout - .dataa(\inst|inst4|inst95|dffs [2]), - .datab(\inst|inst4|inst91|dffs [2]), + .dataa(\inst|inst4|inst58|$00000|auto_generated|result_node[1]~4_combout ), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst92|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst59|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst92|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst92|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst59|dffs[1]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst59|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X27_Y18_N27 -cycloneii_lcell_ff \inst|inst4|inst93|dffs[2] ( +// Location: LCFF_X21_Y13_N17 +cycloneii_lcell_ff \inst|inst4|inst59|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst92|$00000|auto_generated|result_node[2]~2_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst59|dffs[1]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst46~combout ), + .ena(\inst|inst4|inst124|inst77~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst93|dffs [2])); + .regout(\inst|inst4|inst59|dffs [1])); -// Location: LCCOMB_X27_Y18_N2 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~77 ( +// Location: LCCOMB_X21_Y13_N26 +cycloneii_lcell_comb \inst|inst4|inst56|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~77_combout = (\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst95|dffs [2])) # (!\addr~combout [0] & ((\inst|inst4|inst93|dffs [2]))))) +// \inst|inst4|inst56|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst54|dffs [1])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst59|dffs [1]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst54|dffs [1])) - .dataa(\inst|inst4|inst95|dffs [2]), - .datab(\addr~combout [0]), - .datac(\addr~combout [1]), - .datad(\inst|inst4|inst93|dffs [2]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst54|dffs [1]), + .datac(\inst|inst4|inst59|dffs [1]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~77_combout ), + .combout(\inst|inst4|inst56|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~77 .lut_mask = 16'hB080; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~77 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst56|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst56|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y17_N18 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~78 ( +// Location: LCCOMB_X21_Y13_N18 +cycloneii_lcell_comb \inst|inst4|inst57|dffs[1]~feeder ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~78_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~76_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~77_combout ))) +// \inst|inst4|inst57|dffs[1]~feeder_combout = \inst|inst4|inst56|$00000|auto_generated|result_node[1]~4_combout - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~76_combout ), + .dataa(vcc), .datab(vcc), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~77_combout ), - .datad(\addr~combout [2]), - .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~78_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~78 .lut_mask = 16'hFA00; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~78 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X26_Y17_N14 -cycloneii_lcell_comb \inst|inst4|inst86|$00000|auto_generated|result_node[2]~2 ( -// Equation(s): -// \inst|inst4|inst86|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst89|dffs [2]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst85|dffs [2])) - - .dataa(\inst|inst4|inst85|dffs [2]), - .datab(\inst|inst4|inst108~combout ), .datac(vcc), - .datad(\inst|inst4|inst89|dffs [2]), + .datad(\inst|inst4|inst56|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\inst|inst4|inst86|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst57|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst86|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst86|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst57|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst57|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X26_Y17_N15 -cycloneii_lcell_ff \inst|inst4|inst87|dffs[2] ( +// Location: LCFF_X21_Y13_N19 +cycloneii_lcell_ff \inst|inst4|inst57|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst86|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst57|dffs[1]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst43~combout ), + .ena(\inst|inst4|inst124|inst78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst87|dffs [2])); + .regout(\inst|inst4|inst57|dffs [1])); -// Location: LCCOMB_X30_Y17_N14 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~80 ( +// Location: LCCOMB_X21_Y13_N20 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~78 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~80_combout = (\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst87|dffs [2]))) # (!\addr~combout [0] & (\inst|inst4|inst85|dffs [2])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~78_combout = (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst59|dffs [1])) # (!\addr~combout [0] & ((\inst|inst4|inst57|dffs [1]))))) - .dataa(\inst|inst4|inst85|dffs [2]), - .datab(\addr~combout [0]), - .datac(\inst|inst4|inst87|dffs [2]), - .datad(\addr~combout [1]), + .dataa(\inst|inst4|inst59|dffs [1]), + .datab(\inst|inst4|inst57|dffs [1]), + .datac(\addr~combout [1]), + .datad(\addr~combout [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~80_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~78_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~80 .lut_mask = 16'hE200; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~80 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~78 .lut_mask = 16'h0A0C; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~78 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y17_N8 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~81 ( +// Location: LCCOMB_X21_Y13_N14 +cycloneii_lcell_comb \inst|inst4|inst60|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~81_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~78_combout ) # ((!\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~79_combout ) # -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~80_combout )))) +// \inst|inst4|inst60|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst59|dffs [1]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst63|dffs [1])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst59|dffs [1])))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~79_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~78_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~80_combout ), - .datad(\addr~combout [2]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst63|dffs [1]), + .datac(\inst|inst4|inst59|dffs [1]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~81_combout ), + .combout(\inst|inst4|inst60|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~81 .lut_mask = 16'hCCFE; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~81 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst60|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst60|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y16_N22 -cycloneii_lcell_comb \inst|inst4|inst70|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X22_Y13_N18 +cycloneii_lcell_comb \inst|inst4|inst61|dffs[1]~feeder ( // Equation(s): -// \inst|inst4|inst70|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst73|dffs [2]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst68|dffs [2])) +// \inst|inst4|inst61|dffs[1]~feeder_combout = \inst|inst4|inst60|$00000|auto_generated|result_node[1]~4_combout - .dataa(\inst|inst4|inst68|dffs [2]), - .datab(\inst|inst4|inst73|dffs [2]), + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .datad(\inst|inst4|inst60|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\inst|inst4|inst70|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst61|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst70|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst70|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst61|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst61|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X26_Y16_N23 -cycloneii_lcell_ff \inst|inst4|inst71|dffs[2] ( +// Location: LCFF_X22_Y13_N19 +cycloneii_lcell_ff \inst|inst4|inst61|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst70|$00000|auto_generated|result_node[2]~2_combout ), - .sdata(\~GND~combout ), + .datain(\inst|inst4|inst61|dffs[1]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst35~combout ), + .ena(\inst|inst4|inst124|inst76~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst71|dffs [2])); + .regout(\inst|inst4|inst61|dffs [1])); -// Location: LCCOMB_X25_Y16_N26 -cycloneii_lcell_comb \inst|inst4|inst72|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X22_Y12_N2 +cycloneii_lcell_comb \inst|inst4|inst64|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst72|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst75|dffs [2])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst71|dffs [2]))) +// \inst|inst4|inst64|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst63|dffs [1]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst67|dffs [1])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst63|dffs [1])))) - .dataa(\inst|inst4|inst75|dffs [2]), - .datab(\inst|inst4|inst71|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst67|dffs [1]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst63|dffs [1]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst72|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst64|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst72|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst72|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst64|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hF0B8; +defparam \inst|inst4|inst64|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X25_Y16_N27 -cycloneii_lcell_ff \inst|inst4|inst73|dffs[2] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst72|$00000|auto_generated|result_node[2]~2_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst36~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst73|dffs [2])); - -// Location: LCCOMB_X25_Y16_N24 -cycloneii_lcell_comb \inst|inst4|inst74|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X22_Y12_N24 +cycloneii_lcell_comb \inst|inst4|inst65|dffs[1]~feeder ( // Equation(s): -// \inst|inst4|inst74|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst77|dffs [2])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst73|dffs [2]))) +// \inst|inst4|inst65|dffs[1]~feeder_combout = \inst|inst4|inst64|$00000|auto_generated|result_node[1]~4_combout - .dataa(\inst|inst4|inst77|dffs [2]), - .datab(\inst|inst4|inst73|dffs [2]), + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .datad(\inst|inst4|inst64|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\inst|inst4|inst74|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst65|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst74|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst74|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst65|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst65|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X25_Y16_N25 -cycloneii_lcell_ff \inst|inst4|inst75|dffs[2] ( +// Location: LCFF_X22_Y12_N25 +cycloneii_lcell_ff \inst|inst4|inst65|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst74|$00000|auto_generated|result_node[2]~2_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst65|dffs[1]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst37~combout ), + .ena(\inst|inst4|inst124|inst74~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst75|dffs [2])); - -// Location: LCCOMB_X24_Y17_N26 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~70 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~70_combout = (\addr~combout [0] & (((\inst|inst4|inst75|dffs [2]) # (\addr~combout [1])))) # (!\addr~combout [0] & (\inst|inst4|inst73|dffs [2] & ((!\addr~combout [1])))) - - .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst73|dffs [2]), - .datac(\inst|inst4|inst75|dffs [2]), - .datad(\addr~combout [1]), - .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~70_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~70 .lut_mask = 16'hAAE4; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~70 .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\inst|inst4|inst65|dffs [1])); -// Location: LCCOMB_X24_Y17_N20 -cycloneii_lcell_comb \inst|inst4|inst80|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X21_Y13_N12 +cycloneii_lcell_comb \inst|inst4|inst62|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst80|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst82|dffs [2])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst79|dffs [2]))) +// \inst|inst4|inst62|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst61|dffs [1])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst65|dffs [1]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst61|dffs [1])) - .dataa(\inst|inst4|inst82|dffs [2]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst79|dffs [2]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst61|dffs [1]), + .datac(\inst|inst4|inst65|dffs [1]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst80|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst62|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst80|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst80|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst62|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst62|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y17_N21 -cycloneii_lcell_ff \inst|inst4|inst81|dffs[2] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst80|$00000|auto_generated|result_node[2]~2_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst40~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst81|dffs [2])); - -// Location: LCCOMB_X25_Y17_N14 -cycloneii_lcell_comb \inst|inst4|inst78|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X22_Y13_N24 +cycloneii_lcell_comb \inst|inst4|inst63|dffs[1]~feeder ( // Equation(s): -// \inst|inst4|inst78|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst81|dffs [2]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst77|dffs [2])) +// \inst|inst4|inst63|dffs[1]~feeder_combout = \inst|inst4|inst62|$00000|auto_generated|result_node[1]~4_combout - .dataa(\inst|inst4|inst77|dffs [2]), - .datab(\inst|inst4|inst81|dffs [2]), + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .datad(\inst|inst4|inst62|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\inst|inst4|inst78|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst63|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst78|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst78|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst63|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst63|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X25_Y17_N15 -cycloneii_lcell_ff \inst|inst4|inst79|dffs[2] ( +// Location: LCFF_X22_Y13_N25 +cycloneii_lcell_ff \inst|inst4|inst63|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst78|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst63|dffs[1]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst39~combout ), + .ena(\inst|inst4|inst124|inst75~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst79|dffs [2])); + .regout(\inst|inst4|inst63|dffs [1])); -// Location: LCCOMB_X24_Y17_N28 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~71 ( +// Location: LCCOMB_X21_Y13_N6 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~79 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~71_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~70_combout & (((\inst|inst4|inst79|dffs [2]) # (!\addr~combout [1])))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~70_combout & (\inst|inst4|inst77|dffs [2] & ((\addr~combout [1])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~79_combout = (\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst63|dffs [1])) # (!\addr~combout [0] & ((\inst|inst4|inst61|dffs [1]))))) - .dataa(\inst|inst4|inst77|dffs [2]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~70_combout ), - .datac(\inst|inst4|inst79|dffs [2]), - .datad(\addr~combout [1]), + .dataa(\addr~combout [0]), + .datab(\inst|inst4|inst63|dffs [1]), + .datac(\addr~combout [1]), + .datad(\inst|inst4|inst61|dffs [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~71_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~79_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~71 .lut_mask = 16'hE2CC; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~71 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~79 .lut_mask = 16'hD080; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~79 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y17_N22 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~72 ( +// Location: LCCOMB_X21_Y13_N8 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~80 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~72_combout = (\addr~combout [5] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~71_combout & (!\addr~combout [3] & \addr~combout [2]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~80_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~78_combout ) # +// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~79_combout ))) - .dataa(\addr~combout [5]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~71_combout ), - .datac(\addr~combout [3]), - .datad(\addr~combout [2]), + .dataa(\addr~combout [2]), + .datab(vcc), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~78_combout ), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~79_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~72_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~80_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~72 .lut_mask = 16'h0800; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~72 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~80 .lut_mask = 16'hAAA0; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~80 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y17_N26 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~82 ( +// Location: LCCOMB_X25_Y15_N4 +cycloneii_lcell_comb \inst|inst4|inst46|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~82_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~75_combout ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~72_combout ) # -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~81_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout ))) +// \inst|inst4|inst46|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst45|dffs [1])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst49|dffs [1]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst45|dffs [1])) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~75_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~81_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~72_combout ), + .dataa(\inst|inst4|inst45|dffs [1]), + .datab(\inst|inst4|inst49|dffs [1]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~82_combout ), + .combout(\inst|inst4|inst46|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~82 .lut_mask = 16'hFFEA; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~82 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst46|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hAACA; +defparam \inst|inst4|inst46|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y18_N10 -cycloneii_lcell_comb \inst|inst4|inst60|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X25_Y15_N24 +cycloneii_lcell_comb \inst|inst4|inst47|dffs[1]~feeder ( // Equation(s): -// \inst|inst4|inst60|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst63|dffs [2])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst59|dffs [2]))) +// \inst|inst4|inst47|dffs[1]~feeder_combout = \inst|inst4|inst46|$00000|auto_generated|result_node[1]~4_combout - .dataa(\inst|inst4|inst63|dffs [2]), - .datab(\inst|inst4|inst108~combout ), + .dataa(vcc), + .datab(\inst|inst4|inst46|$00000|auto_generated|result_node[1]~4_combout ), .datac(vcc), - .datad(\inst|inst4|inst59|dffs [2]), - .cin(gnd), - .combout(\inst|inst4|inst60|$00000|auto_generated|result_node[2]~2_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst60|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst60|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X35_Y18_N14 -cycloneii_lcell_comb \inst|inst4|inst124|inst30 ( -// Equation(s): -// \inst|inst4|inst124|inst30~combout = (\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [21] & (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst4|inst122~0_combout & -// !\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ))) - - .dataa(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [21]), - .datab(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datac(\inst|inst4|inst122~0_combout ), - .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst124|inst30~combout ), + .combout(\inst|inst4|inst47|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst30 .lut_mask = 16'h0002; -defparam \inst|inst4|inst124|inst30 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst47|dffs[1]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst47|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X35_Y18_N11 -cycloneii_lcell_ff \inst|inst4|inst61|dffs[2] ( +// Location: LCFF_X25_Y15_N25 +cycloneii_lcell_ff \inst|inst4|inst47|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst60|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst47|dffs[1]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst30~combout ), + .ena(\inst|inst4|inst124|inst83~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst61|dffs [2])); + .regout(\inst|inst4|inst47|dffs [1])); -// Location: LCCOMB_X36_Y18_N24 -cycloneii_lcell_comb \inst|inst4|inst58|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X24_Y15_N22 +cycloneii_lcell_comb \inst|inst4|inst48|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst58|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst61|dffs [2]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst57|dffs [2])) +// \inst|inst4|inst48|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst47|dffs [1]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst51|dffs [1])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst47|dffs [1])))) - .dataa(\inst|inst4|inst57|dffs [2]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst61|dffs [2]), + .dataa(\inst|inst4|inst51|dffs [1]), + .datab(\inst|inst4|inst47|dffs [1]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst58|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst48|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst58|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst58|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst48|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hCCAC; +defparam \inst|inst4|inst48|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X36_Y18_N25 -cycloneii_lcell_ff \inst|inst4|inst59|dffs[2] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst58|$00000|auto_generated|result_node[2]~2_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst29~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst59|dffs [2])); - -// Location: LCCOMB_X37_Y18_N4 -cycloneii_lcell_comb \inst|inst4|inst56|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X24_Y15_N14 +cycloneii_lcell_comb \inst|inst4|inst49|dffs[1]~feeder ( // Equation(s): -// \inst|inst4|inst56|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst59|dffs [2]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst54|dffs [2])) +// \inst|inst4|inst49|dffs[1]~feeder_combout = \inst|inst4|inst48|$00000|auto_generated|result_node[1]~4_combout - .dataa(\inst|inst4|inst54|dffs [2]), - .datab(\inst|inst4|inst108~combout ), + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst59|dffs [2]), + .datad(\inst|inst4|inst48|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\inst|inst4|inst56|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst49|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst56|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst56|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst49|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst49|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X37_Y18_N5 -cycloneii_lcell_ff \inst|inst4|inst57|dffs[2] ( +// Location: LCFF_X24_Y15_N15 +cycloneii_lcell_ff \inst|inst4|inst49|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst56|$00000|auto_generated|result_node[2]~2_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst49|dffs[1]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst28~combout ), + .ena(\inst|inst4|inst124|inst82~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst57|dffs [2])); + .regout(\inst|inst4|inst49|dffs [1])); -// Location: LCCOMB_X36_Y18_N10 -cycloneii_lcell_comb \inst|inst4|inst55|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X21_Y13_N0 +cycloneii_lcell_comb \inst|inst4|inst50|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst55|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst57|dffs [2]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst53|dffs [2])) +// \inst|inst4|inst50|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst49|dffs [1])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst53|dffs [1]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst49|dffs [1])) - .dataa(\inst|inst4|inst53|dffs [2]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst57|dffs [2]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst49|dffs [1]), + .datac(\inst|inst4|inst53|dffs [1]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst55|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst50|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst55|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst55|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst50|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst50|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X36_Y18_N11 -cycloneii_lcell_ff \inst|inst4|inst54|dffs[2] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst55|$00000|auto_generated|result_node[2]~2_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst27~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst54|dffs [2])); - -// Location: LCCOMB_X34_Y17_N26 -cycloneii_lcell_comb \inst|inst4|inst48|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X24_Y15_N12 +cycloneii_lcell_comb \inst|inst4|inst51|dffs[1]~feeder ( // Equation(s): -// \inst|inst4|inst48|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst51|dffs [2]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst47|dffs [2])) +// \inst|inst4|inst51|dffs[1]~feeder_combout = \inst|inst4|inst50|$00000|auto_generated|result_node[1]~4_combout - .dataa(\inst|inst4|inst47|dffs [2]), - .datab(\inst|inst4|inst108~combout ), + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst51|dffs [2]), + .datad(\inst|inst4|inst50|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\inst|inst4|inst48|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst51|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst48|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst48|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst51|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst51|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X34_Y17_N27 -cycloneii_lcell_ff \inst|inst4|inst49|dffs[2] ( +// Location: LCFF_X24_Y15_N13 +cycloneii_lcell_ff \inst|inst4|inst51|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst48|$00000|auto_generated|result_node[2]~2_combout ), + .datain(\inst|inst4|inst51|dffs[1]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst24~combout ), + .ena(\inst|inst4|inst124|inst81~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst49|dffs [2])); + .regout(\inst|inst4|inst51|dffs [1])); -// Location: LCCOMB_X36_Y17_N12 -cycloneii_lcell_comb \inst|inst4|inst50|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X21_Y13_N28 +cycloneii_lcell_comb \inst|inst4|inst52|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst50|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst53|dffs [2]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst49|dffs [2])) +// \inst|inst4|inst52|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst51|dffs [1]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst54|dffs [1])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst51|dffs [1])))) - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst49|dffs [2]), - .datac(vcc), - .datad(\inst|inst4|inst53|dffs [2]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst54|dffs [1]), + .datac(\inst|inst4|inst51|dffs [1]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst50|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst52|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst50|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst50|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst52|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst52|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X36_Y17_N13 -cycloneii_lcell_ff \inst|inst4|inst51|dffs[2] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst50|$00000|auto_generated|result_node[2]~2_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst25~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst51|dffs [2])); - -// Location: LCCOMB_X36_Y17_N30 -cycloneii_lcell_comb \inst|inst4|inst52|$00000|auto_generated|result_node[2]~2 ( +// Location: LCCOMB_X22_Y15_N20 +cycloneii_lcell_comb \inst|inst4|inst53|dffs[1]~feeder ( // Equation(s): -// \inst|inst4|inst52|$00000|auto_generated|result_node[2]~2_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst54|dffs [2])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst51|dffs [2]))) +// \inst|inst4|inst53|dffs[1]~feeder_combout = \inst|inst4|inst52|$00000|auto_generated|result_node[1]~4_combout - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst54|dffs [2]), + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst51|dffs [2]), + .datad(\inst|inst4|inst52|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\inst|inst4|inst52|$00000|auto_generated|result_node[2]~2_combout ), + .combout(\inst|inst4|inst53|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst52|$00000|auto_generated|result_node[2]~2 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst52|$00000|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst53|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst53|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X36_Y17_N31 -cycloneii_lcell_ff \inst|inst4|inst53|dffs[2] ( +// Location: LCFF_X22_Y15_N21 +cycloneii_lcell_ff \inst|inst4|inst53|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst52|$00000|auto_generated|result_node[2]~2_combout ), - .sdata(\~GND~combout ), + .datain(\inst|inst4|inst53|dffs[1]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst26~combout ), + .ena(\inst|inst4|inst124|inst80~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst53|dffs [2])); + .regout(\inst|inst4|inst53|dffs [1])); -// Location: LCCOMB_X36_Y17_N8 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~48 ( +// Location: LCCOMB_X21_Y13_N4 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~82 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~48_combout = (\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst54|dffs [2])) # (!\addr~combout [0] & ((\inst|inst4|inst53|dffs [2]))))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~82_combout = (\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst54|dffs [1])) # (!\addr~combout [0] & ((\inst|inst4|inst53|dffs [1]))))) - .dataa(\addr~combout [1]), - .datab(\inst|inst4|inst54|dffs [2]), - .datac(\addr~combout [0]), - .datad(\inst|inst4|inst53|dffs [2]), + .dataa(\addr~combout [0]), + .datab(\inst|inst4|inst54|dffs [1]), + .datac(\addr~combout [1]), + .datad(\inst|inst4|inst53|dffs [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~48_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~82_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~48 .lut_mask = 16'h8A80; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~48 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~82 .lut_mask = 16'hD080; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~82 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y17_N24 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~47 ( +// Location: LCCOMB_X21_Y13_N30 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~83 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~47_combout = (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst51|dffs [2])) # (!\addr~combout [0] & ((\inst|inst4|inst49|dffs [2]))))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~83_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~80_combout ) # ((!\addr~combout [2] & +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~81_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~82_combout )))) - .dataa(\inst|inst4|inst51|dffs [2]), - .datab(\addr~combout [0]), - .datac(\inst|inst4|inst49|dffs [2]), - .datad(\addr~combout [1]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~81_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~80_combout ), + .datac(\addr~combout [2]), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~82_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~47_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~83_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~47 .lut_mask = 16'h00B8; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~47 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~83 .lut_mask = 16'hCFCE; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~83 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y17_N2 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~49 ( +// Location: LCCOMB_X26_Y13_N6 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~84 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~49_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~46_combout ) # ((!\addr~combout [2] & -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~48_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~47_combout )))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~84_combout = (\addr~combout [4] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~77_combout ) # ((\addr~combout [3] & +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~83_combout )))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~46_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~48_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~47_combout ), - .datad(\addr~combout [2]), + .dataa(\addr~combout [4]), + .datab(\addr~combout [3]), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~77_combout ), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~83_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~49_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~84_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~49 .lut_mask = 16'hAAFE; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~49 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~84 .lut_mask = 16'hA8A0; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~84 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y17_N20 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~50 ( +// Location: LCCOMB_X30_Y14_N18 +cycloneii_lcell_comb \inst|inst4|inst102|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~50_combout = (\addr~combout [4] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~43_combout ) # -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~49_combout & \addr~combout [3])))) +// \inst|inst4|inst102|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst101|dffs [1] & (((\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout ) # (\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout )) # +// (!\inst|inst4|inst108~0_combout ))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~43_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~49_combout ), - .datac(\addr~combout [3]), - .datad(\addr~combout [4]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst101|dffs [1]), + .datac(\inst|inst1|auto_generated|aneb_result_wire[0]~8_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~50_combout ), + .combout(\inst|inst4|inst102|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~50 .lut_mask = 16'hEA00; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~50 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst102|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hCCC4; +defparam \inst|inst4|inst102|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y17_N30 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~154 ( +// Location: LCCOMB_X29_Y14_N8 +cycloneii_lcell_comb \inst|inst4|inst103|dffs[1]~feeder ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~154_combout = ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~65_combout & (!\addr~combout [3] & !\addr~combout [2]))) # (!\addr~combout [4]) +// \inst|inst4|inst103|dffs[1]~feeder_combout = \inst|inst4|inst102|$00000|auto_generated|result_node[1]~4_combout - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~65_combout ), - .datab(\addr~combout [4]), - .datac(\addr~combout [3]), - .datad(\addr~combout [2]), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst102|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~154_combout ), + .combout(\inst|inst4|inst103|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~154 .lut_mask = 16'h333B; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~154 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst103|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst103|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X30_Y17_N4 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51 ( +// Location: LCFF_X29_Y14_N9 +cycloneii_lcell_ff \inst|inst4|inst103|dffs[1] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst103|dffs[1]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst55~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst103|dffs [1])); + +// Location: LCCOMB_X23_Y13_N26 +cycloneii_lcell_comb \inst|inst4|inst100|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~42_combout ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~82_combout & -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~154_combout ))) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~82_combout & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~50_combout ))) +// \inst|inst4|inst100|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst99|dffs [1])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst103|dffs [1]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst99|dffs [1])))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~42_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~82_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~50_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~154_combout ), + .dataa(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst99|dffs [1]), + .datad(\inst|inst4|inst103|dffs [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout ), + .combout(\inst|inst4|inst100|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51 .lut_mask = 16'hFEBA; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst100|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hF4B0; +defparam \inst|inst4|inst100|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y20_N28 -cycloneii_lcell_comb \inst|inst5|inst|inst5|inst2~2 ( +// Location: LCCOMB_X23_Y13_N10 +cycloneii_lcell_comb \inst|inst4|inst101|dffs[1]~feeder ( // Equation(s): -// \inst|inst5|inst|inst5|inst2~2_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout & ((\inst|inst5|inst|inst5|inst2~0_combout ) # ((\inst|inst5|inst|inst5|inst2~1_combout & -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout )))) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout & (\inst|inst5|inst|inst5|inst2~1_combout & (\inst|inst5|inst|inst5|inst2~0_combout & -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout ))) +// \inst|inst4|inst101|dffs[1]~feeder_combout = \inst|inst4|inst100|$00000|auto_generated|result_node[1]~4_combout - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout ), - .datab(\inst|inst5|inst|inst5|inst2~1_combout ), - .datac(\inst|inst5|inst|inst5|inst2~0_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout ), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst100|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\inst|inst5|inst|inst5|inst2~2_combout ), + .combout(\inst|inst4|inst101|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst5|inst|inst5|inst2~2 .lut_mask = 16'hE8A0; -defparam \inst|inst5|inst|inst5|inst2~2 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst101|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst101|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: PIN_L2, I/O Standard: 3.3-V LVTTL, Current Strength: Default -cycloneii_io \mode_segment~I ( - .datain(gnd), - .oe(gnd), - .outclk(gnd), - .outclkena(vcc), - .inclk(gnd), - .inclkena(vcc), - .areset(gnd), - .sreset(gnd), - .differentialin(gnd), - .linkin(gnd), +// Location: LCFF_X23_Y13_N11 +cycloneii_lcell_ff \inst|inst4|inst101|dffs[1] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst101|dffs[1]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst56~0_combout ), .devclrn(devclrn), .devpor(devpor), - .devoe(devoe), - .combout(\mode_segment~combout ), - .regout(), - .differentialout(), - .linkout(), - .padio(mode_segment)); -// synopsys translate_off -defparam \mode_segment~I .input_async_reset = "none"; -defparam \mode_segment~I .input_power_up = "low"; -defparam \mode_segment~I .input_register_mode = "none"; -defparam \mode_segment~I .input_sync_reset = "none"; -defparam \mode_segment~I .oe_async_reset = "none"; -defparam \mode_segment~I .oe_power_up = "low"; -defparam \mode_segment~I .oe_register_mode = "none"; -defparam \mode_segment~I .oe_sync_reset = "none"; -defparam \mode_segment~I .operation_mode = "input"; -defparam \mode_segment~I .output_async_reset = "none"; -defparam \mode_segment~I .output_power_up = "low"; -defparam \mode_segment~I .output_register_mode = "none"; -defparam \mode_segment~I .output_sync_reset = "none"; -// synopsys translate_on + .regout(\inst|inst4|inst101|dffs [1])); -// Location: LCCOMB_X15_Y21_N0 -cycloneii_lcell_comb \inst|inst6|Mux0~0 ( +// Location: LCCOMB_X30_Y12_N22 +cycloneii_lcell_comb \inst|inst4|inst95|dffs[1]~feeder ( // Equation(s): -// \inst|inst6|Mux0~0_combout = (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & (!\mode_segment~combout & ((!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ) # -// (!\inst|inst5|inst|inst5|inst2~2_combout )))) +// \inst|inst4|inst95|dffs[1]~feeder_combout = \inst|inst4|inst94|$00000|auto_generated|result_node[1]~4_combout - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), - .datab(\inst|inst5|inst|inst5|inst2~2_combout ), - .datac(\mode_segment~combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .dataa(\inst|inst4|inst94|$00000|auto_generated|result_node[1]~4_combout ), + .datab(vcc), + .datac(vcc), + .datad(vcc), .cin(gnd), - .combout(\inst|inst6|Mux0~0_combout ), + .combout(\inst|inst4|inst95|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst6|Mux0~0 .lut_mask = 16'h0105; -defparam \inst|inst6|Mux0~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst95|dffs[1]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst95|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y20_N14 -cycloneii_lcell_comb \inst|inst6|Mux1~0 ( +// Location: LCFF_X30_Y12_N23 +cycloneii_lcell_ff \inst|inst4|inst95|dffs[1] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst95|dffs[1]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst59~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst95|dffs [1])); + +// Location: LCCOMB_X30_Y13_N28 +cycloneii_lcell_comb \inst|inst4|inst97|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst6|Mux1~0_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & ((\mode_segment~combout & (!\inst|inst5|inst|inst5|inst2~2_combout & -// !\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout )) # (!\mode_segment~combout & ((!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ) # (!\inst|inst5|inst|inst5|inst2~2_combout ))))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & (((\inst|inst5|inst|inst5|inst2~2_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout )))) +// \inst|inst4|inst97|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst95|dffs [1]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst99|dffs [1])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst95|dffs [1])))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), - .datab(\mode_segment~combout ), - .datac(\inst|inst5|inst|inst5|inst2~2_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst99|dffs [1]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst95|dffs [1]), .cin(gnd), - .combout(\inst|inst6|Mux1~0_combout ), + .combout(\inst|inst4|inst97|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst6|Mux1~0 .lut_mask = 16'h577A; -defparam \inst|inst6|Mux1~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst97|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hFD08; +defparam \inst|inst4|inst97|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y21_N18 -cycloneii_lcell_comb \inst|inst6|Mux2~0 ( +// Location: LCCOMB_X30_Y13_N16 +cycloneii_lcell_comb \inst|inst4|inst96|dffs[1]~feeder ( // Equation(s): -// \inst|inst6|Mux2~0_combout = (\inst|inst5|inst|inst5|inst2~2_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ) # (\mode_segment~combout $ -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout )))) # (!\inst|inst5|inst|inst5|inst2~2_combout & ((\mode_segment~combout & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout )) # -// (!\mode_segment~combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ))))) +// \inst|inst4|inst96|dffs[1]~feeder_combout = \inst|inst4|inst97|$00000|auto_generated|result_node[1]~4_combout - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), - .datab(\inst|inst5|inst|inst5|inst2~2_combout ), - .datac(\mode_segment~combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst97|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\inst|inst6|Mux2~0_combout ), + .combout(\inst|inst4|inst96|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst6|Mux2~0 .lut_mask = 16'hEBAC; -defparam \inst|inst6|Mux2~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst96|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst96|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y21_N28 -cycloneii_lcell_comb \inst|inst6|Mux3~0 ( +// Location: LCFF_X30_Y13_N17 +cycloneii_lcell_ff \inst|inst4|inst96|dffs[1] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst96|dffs[1]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst58~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst96|dffs [1])); + +// Location: LCCOMB_X29_Y13_N4 +cycloneii_lcell_comb \inst|inst4|inst98|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst6|Mux3~0_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & ((\inst|inst5|inst|inst5|inst2~2_combout & (\mode_segment~combout $ -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ))) # (!\inst|inst5|inst|inst5|inst2~2_combout & (\mode_segment~combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout )))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & ((\inst|inst5|inst|inst5|inst2~2_combout & (!\mode_segment~combout & !\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout )) # -// (!\inst|inst5|inst|inst5|inst2~2_combout & (\mode_segment~combout $ (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ))))) +// \inst|inst4|inst98|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst96|dffs [1]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst101|dffs [1])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst96|dffs [1])))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), - .datab(\inst|inst5|inst|inst5|inst2~2_combout ), - .datac(\mode_segment~combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst101|dffs [1]), + .datac(\inst|inst4|inst96|dffs [1]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst6|Mux3~0_combout ), + .combout(\inst|inst4|inst98|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst6|Mux3~0 .lut_mask = 16'h2994; -defparam \inst|inst6|Mux3~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst98|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst98|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y20_N16 -cycloneii_lcell_comb \inst|inst6|Mux4~0 ( +// Location: LCCOMB_X29_Y13_N8 +cycloneii_lcell_comb \inst|inst4|inst99|dffs[1]~feeder ( // Equation(s): -// \inst|inst6|Mux4~0_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & ((\mode_segment~combout ) # ((\inst|inst5|inst|inst5|inst2~2_combout ) # -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout )))) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & ((\mode_segment~combout & (\inst|inst5|inst|inst5|inst2~2_combout $ -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ))) # (!\mode_segment~combout & ((!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ) # (!\inst|inst5|inst|inst5|inst2~2_combout ))))) +// \inst|inst4|inst99|dffs[1]~feeder_combout = \inst|inst4|inst98|$00000|auto_generated|result_node[1]~4_combout - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), - .datab(\mode_segment~combout ), - .datac(\inst|inst5|inst|inst5|inst2~2_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .dataa(vcc), + .datab(\inst|inst4|inst98|$00000|auto_generated|result_node[1]~4_combout ), + .datac(vcc), + .datad(vcc), .cin(gnd), - .combout(\inst|inst6|Mux4~0_combout ), + .combout(\inst|inst4|inst99|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst6|Mux4~0 .lut_mask = 16'hEBBD; -defparam \inst|inst6|Mux4~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst99|dffs[1]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst99|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y21_N6 -cycloneii_lcell_comb \inst|inst6|Mux5~0 ( +// Location: LCFF_X29_Y13_N9 +cycloneii_lcell_ff \inst|inst4|inst99|dffs[1] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|inst4|inst99|dffs[1]~feeder_combout ), + .sdata(\~GND~combout ), + .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(\inst|inst4|inst109~combout ), + .ena(\inst|inst4|inst124|inst57~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|inst4|inst99|dffs [1])); + +// Location: LCCOMB_X30_Y13_N6 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~110 ( // Equation(s): -// \inst|inst6|Mux5~0_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & (\inst|inst5|inst|inst5|inst2~2_combout & (\mode_segment~combout & -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~110_combout = (\addr~combout [0] & (((\inst|inst4|inst99|dffs [1]) # (\addr~combout [1])))) # (!\addr~combout [0] & (\inst|inst4|inst96|dffs [1] & ((!\addr~combout [1])))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), - .datab(\inst|inst5|inst|inst5|inst2~2_combout ), - .datac(\mode_segment~combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .dataa(\inst|inst4|inst96|dffs [1]), + .datab(\inst|inst4|inst99|dffs [1]), + .datac(\addr~combout [0]), + .datad(\addr~combout [1]), .cin(gnd), - .combout(\inst|inst6|Mux5~0_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~110_combout ), .cout()); // synopsys translate_off -defparam \inst|inst6|Mux5~0 .lut_mask = 16'h8000; -defparam \inst|inst6|Mux5~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~110 .lut_mask = 16'hF0CA; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~110 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y20_N10 -cycloneii_lcell_comb \inst|inst6|Mux6~0 ( +// Location: LCCOMB_X23_Y13_N20 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~111 ( // Equation(s): -// \inst|inst6|Mux6~0_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & ((\mode_segment~combout & (\inst|inst5|inst|inst5|inst2~2_combout $ -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ))) # (!\mode_segment~combout & (\inst|inst5|inst|inst5|inst2~2_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout )))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & (!\mode_segment~combout & (\inst|inst5|inst|inst5|inst2~2_combout $ (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout )))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~111_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~110_combout & (((\inst|inst4|inst103|dffs [1]) # (!\addr~combout [1])))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~110_combout & (\inst|inst4|inst101|dffs [1] & (\addr~combout [1]))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), - .datab(\mode_segment~combout ), - .datac(\inst|inst5|inst|inst5|inst2~2_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .dataa(\inst|inst4|inst101|dffs [1]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~110_combout ), + .datac(\addr~combout [1]), + .datad(\inst|inst4|inst103|dffs [1]), .cin(gnd), - .combout(\inst|inst6|Mux6~0_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~111_combout ), .cout()); // synopsys translate_off -defparam \inst|inst6|Mux6~0 .lut_mask = 16'h2990; -defparam \inst|inst6|Mux6~0 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~111 .lut_mask = 16'hEC2C; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~111 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y20_N22 -cycloneii_lcell_comb \inst|inst5|inst|inst7|inst3 ( +// Location: LCCOMB_X26_Y13_N30 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~156 ( // Equation(s): -// \inst|inst5|inst|inst7|inst3~combout = \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout $ (((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout & \inst|inst5|inst|inst5|inst2~2_combout ))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~156_combout = ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~111_combout & (!\addr~combout [3] & !\addr~combout [2]))) # (!\addr~combout [4]) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), - .datab(\inst|inst5|inst|inst5|inst2~2_combout ), - .datac(vcc), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), + .dataa(\addr~combout [4]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~111_combout ), + .datac(\addr~combout [3]), + .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst5|inst|inst7|inst3~combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~156_combout ), .cout()); // synopsys translate_off -defparam \inst|inst5|inst|inst7|inst3 .lut_mask = 16'h7788; -defparam \inst|inst5|inst|inst7|inst3 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~156 .lut_mask = 16'h555D; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~156 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y18_N14 -cycloneii_lcell_comb \inst|inst4|inst98|$00000|auto_generated|result_node[1]~4 ( +// Location: LCCOMB_X26_Y14_N4 +cycloneii_lcell_comb \inst|inst4|inst22|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst98|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst101|dffs [1]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst96|dffs [1])) +// \inst|inst4|inst22|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst21|dffs [1]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst25|dffs [1])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst21|dffs [1])))) - .dataa(\inst|inst4|inst96|dffs [1]), - .datab(\inst|inst4|inst101|dffs [1]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst25|dffs [1]), + .datab(\inst|inst4|inst21|dffs [1]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst98|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\inst|inst4|inst22|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst98|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst98|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst22|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hCCAC; +defparam \inst|inst4|inst22|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y18_N18 -cycloneii_lcell_comb \inst|inst4|inst124|inst49 ( +// Location: LCCOMB_X34_Y15_N4 +cycloneii_lcell_comb \inst|inst4|inst23|dffs[1]~feeder ( // Equation(s): -// \inst|inst4|inst124|inst49~combout = (!\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout & (!\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout & (!\inst|inst4|inst122~0_combout & -// \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [2]))) +// \inst|inst4|inst23|dffs[1]~feeder_combout = \inst|inst4|inst22|$00000|auto_generated|result_node[1]~4_combout - .dataa(\inst|inst1|auto_generated|aneb_result_wire[0]~6_combout ), - .datab(\inst|inst1|auto_generated|aneb_result_wire[0]~4_combout ), - .datac(\inst|inst4|inst122~0_combout ), - .datad(\inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|q_a [2]), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\inst|inst4|inst22|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\inst|inst4|inst124|inst49~combout ), + .combout(\inst|inst4|inst23|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst124|inst49 .lut_mask = 16'h0100; -defparam \inst|inst4|inst124|inst49 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst23|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst23|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X26_Y18_N15 -cycloneii_lcell_ff \inst|inst4|inst99|dffs[1] ( +// Location: LCFF_X34_Y15_N5 +cycloneii_lcell_ff \inst|inst4|inst23|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst98|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\~GND~combout ), + .datain(\inst|inst4|inst23|dffs[1]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst49~combout ), + .ena(\inst|inst4|inst124|inst40~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst99|dffs [1])); + .regout(\inst|inst4|inst23|dffs [1])); -// Location: LCCOMB_X26_Y18_N28 -cycloneii_lcell_comb \inst|inst4|inst100|$00000|auto_generated|result_node[1]~4 ( +// Location: LCCOMB_X33_Y14_N28 +cycloneii_lcell_comb \inst|inst4|inst20|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst100|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst103|dffs [1])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst99|dffs [1]))) +// \inst|inst4|inst20|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst19|dffs [1])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// ((\inst|inst4|inst23|dffs [1]))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst19|dffs [1])))) - .dataa(\inst|inst4|inst103|dffs [1]), - .datab(\inst|inst4|inst99|dffs [1]), + .dataa(\inst|inst4|inst19|dffs [1]), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst23|dffs [1]), + .datad(\inst|inst4|inst108~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst20|$00000|auto_generated|result_node[1]~4_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst20|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hB8AA; +defparam \inst|inst4|inst20|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X32_Y13_N8 +cycloneii_lcell_comb \inst|inst4|inst21|dffs[1]~feeder ( +// Equation(s): +// \inst|inst4|inst21|dffs[1]~feeder_combout = \inst|inst4|inst20|$00000|auto_generated|result_node[1]~4_combout + + .dataa(vcc), + .datab(\inst|inst4|inst20|$00000|auto_generated|result_node[1]~4_combout ), .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst100|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\inst|inst4|inst21|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst100|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst100|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst21|dffs[1]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst21|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X26_Y18_N29 -cycloneii_lcell_ff \inst|inst4|inst101|dffs[1] ( +// Location: LCFF_X32_Y13_N9 +cycloneii_lcell_ff \inst|inst4|inst21|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst100|$00000|auto_generated|result_node[1]~4_combout ), + .datain(\inst|inst4|inst21|dffs[1]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst50~combout ), + .ena(\inst|inst4|inst124|inst41~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst101|dffs [1])); + .regout(\inst|inst4|inst21|dffs [1])); -// Location: LCCOMB_X25_Y18_N0 -cycloneii_lcell_comb \inst|inst4|inst102|$00000|auto_generated|result_node[1]~4 ( +// Location: LCCOMB_X33_Y14_N30 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~74 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~74_combout = (!\addr~combout [0] & ((\addr~combout [1] & ((\inst|inst4|inst21|dffs [1]))) # (!\addr~combout [1] & (\inst|inst4|inst17|dffs [1])))) + + .dataa(\inst|inst4|inst17|dffs [1]), + .datab(\inst|inst4|inst21|dffs [1]), + .datac(\addr~combout [1]), + .datad(\addr~combout [0]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~74_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~74 .lut_mask = 16'h00CA; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~74 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X26_Y14_N26 +cycloneii_lcell_comb \inst|inst4|inst29|$00000|auto_generated|result_node[1]~4 ( +// Equation(s): +// \inst|inst4|inst29|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst27|dffs [1])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst31|dffs [1]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst27|dffs [1])) + + .dataa(\inst|inst4|inst27|dffs [1]), + .datab(\inst|inst4|inst31|dffs [1]), + .datac(\inst|inst4|inst108~0_combout ), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst29|$00000|auto_generated|result_node[1]~4_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst29|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hAACA; +defparam \inst|inst4|inst29|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X26_Y14_N0 +cycloneii_lcell_comb \inst|inst4|inst28|dffs[1]~feeder ( // Equation(s): -// \inst|inst4|inst102|$00000|auto_generated|result_node[1]~4_combout = (!\inst|inst4|inst108~combout & \inst|inst4|inst101|dffs [1]) +// \inst|inst4|inst28|dffs[1]~feeder_combout = \inst|inst4|inst29|$00000|auto_generated|result_node[1]~4_combout .dataa(vcc), - .datab(\inst|inst4|inst108~combout ), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst101|dffs [1]), + .datad(\inst|inst4|inst29|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\inst|inst4|inst102|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\inst|inst4|inst28|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst102|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'h3300; -defparam \inst|inst4|inst102|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst28|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst28|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X25_Y18_N1 -cycloneii_lcell_ff \inst|inst4|inst103|dffs[1] ( +// Location: LCFF_X26_Y14_N1 +cycloneii_lcell_ff \inst|inst4|inst28|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst102|$00000|auto_generated|result_node[1]~4_combout ), + .datain(\inst|inst4|inst28|dffs[1]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst51~combout ), + .ena(\inst|inst4|inst124|inst92~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst103|dffs [1])); + .regout(\inst|inst4|inst28|dffs [1])); -// Location: LCCOMB_X26_Y18_N6 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~111 ( +// Location: LCCOMB_X26_Y14_N14 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~71 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~111_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~110_combout & (((\inst|inst4|inst103|dffs [1]) # (!\addr~combout [1])))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~110_combout & (\inst|inst4|inst101|dffs [1] & ((\addr~combout [1])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~71_combout = (!\addr~combout [0] & ((\addr~combout [1] & ((\inst|inst4|inst28|dffs [1]))) # (!\addr~combout [1] & (\inst|inst4|inst25|dffs [1])))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~110_combout ), - .datab(\inst|inst4|inst101|dffs [1]), - .datac(\inst|inst4|inst103|dffs [1]), - .datad(\addr~combout [1]), + .dataa(\inst|inst4|inst25|dffs [1]), + .datab(\inst|inst4|inst28|dffs [1]), + .datac(\addr~combout [1]), + .datad(\addr~combout [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~111_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~71_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~111 .lut_mask = 16'hE4AA; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~111 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~71 .lut_mask = 16'h00CA; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~71 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y16_N14 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~156 ( +// Location: LCCOMB_X26_Y14_N16 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~72 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~156_combout = ((!\addr~combout [3] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~111_combout & !\addr~combout [2]))) # (!\addr~combout [4]) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~72_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~70_combout ) # +// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~71_combout ))) - .dataa(\addr~combout [3]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~111_combout ), - .datac(\addr~combout [4]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~70_combout ), + .datab(vcc), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~71_combout ), .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~156_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~72_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~156 .lut_mask = 16'h0F4F; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~156 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~72 .lut_mask = 16'hFA00; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~72 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y16_N30 -cycloneii_lcell_comb \inst|inst4|inst69|$00000|auto_generated|result_node[1]~4 ( +// Location: LCCOMB_X33_Y14_N8 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~75 ( // Equation(s): -// \inst|inst4|inst69|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst71|dffs [1]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst67|dffs [1])) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~75_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~72_combout ) # ((!\addr~combout [2] & +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~73_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~74_combout )))) - .dataa(\inst|inst4|inst67|dffs [1]), - .datab(\inst|inst4|inst71|dffs [1]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~73_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~74_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~72_combout ), + .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst69|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~75_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst69|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst69|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~75 .lut_mask = 16'hF0FE; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~75 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X27_Y16_N31 -cycloneii_lcell_ff \inst|inst4|inst68|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst69|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst34~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst68|dffs [1])); +// Location: LCCOMB_X33_Y14_N6 +cycloneii_lcell_comb \inst|inst4|inst16|$00000|auto_generated|result_node[1]~4 ( +// Equation(s): +// \inst|inst4|inst16|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst1|auto_generated|aneb_result_wire [0] & (((\inst|inst4|inst14|dffs [1])))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst108~0_combout & +// (\inst|inst4|inst19|dffs [1])) # (!\inst|inst4|inst108~0_combout & ((\inst|inst4|inst14|dffs [1]))))) -// Location: LCCOMB_X26_Y16_N18 -cycloneii_lcell_comb \inst|inst4|inst70|$00000|auto_generated|result_node[1]~4 ( + .dataa(\inst|inst4|inst19|dffs [1]), + .datab(\inst|inst4|inst14|dffs [1]), + .datac(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datad(\inst|inst4|inst108~0_combout ), + .cin(gnd), + .combout(\inst|inst4|inst16|$00000|auto_generated|result_node[1]~4_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst16|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hCACC; +defparam \inst|inst4|inst16|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y13_N2 +cycloneii_lcell_comb \inst|inst4|inst17|dffs[1]~feeder ( // Equation(s): -// \inst|inst4|inst70|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst73|dffs [1])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst68|dffs [1]))) +// \inst|inst4|inst17|dffs[1]~feeder_combout = \inst|inst4|inst16|$00000|auto_generated|result_node[1]~4_combout - .dataa(\inst|inst4|inst73|dffs [1]), - .datab(\inst|inst4|inst68|dffs [1]), + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .datad(\inst|inst4|inst16|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\inst|inst4|inst70|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\inst|inst4|inst17|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst70|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst70|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst17|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst17|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X26_Y16_N19 -cycloneii_lcell_ff \inst|inst4|inst71|dffs[1] ( +// Location: LCFF_X33_Y13_N3 +cycloneii_lcell_ff \inst|inst4|inst17|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst70|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\inst|inst4|inst109~combout ), + .datain(\inst|inst4|inst17|dffs[1]~feeder_combout ), + .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst35~combout ), + .ena(\inst|inst4|inst124|inst43~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst71|dffs [1])); + .regout(\inst|inst4|inst17|dffs [1])); -// Location: LCCOMB_X27_Y16_N4 -cycloneii_lcell_comb \inst|inst4|inst66|$00000|auto_generated|result_node[1]~4 ( +// Location: LCCOMB_X34_Y14_N26 +cycloneii_lcell_comb \inst|inst4|inst13|dffs[1]~feeder ( // Equation(s): -// \inst|inst4|inst66|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst68|dffs [1]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst65|dffs [1])) +// \inst|inst4|inst13|dffs[1]~feeder_combout = \inst|inst4|inst12|$00000|auto_generated|result_node[1]~4_combout - .dataa(\inst|inst4|inst65|dffs [1]), - .datab(\inst|inst4|inst68|dffs [1]), + .dataa(\inst|inst4|inst12|$00000|auto_generated|result_node[1]~4_combout ), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst66|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\inst|inst4|inst13|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst66|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst66|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst13|dffs[1]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst13|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X27_Y16_N5 -cycloneii_lcell_ff \inst|inst4|inst67|dffs[1] ( +// Location: LCFF_X34_Y14_N27 +cycloneii_lcell_ff \inst|inst4|inst13|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst66|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\~GND~combout ), + .datain(\inst|inst4|inst13|dffs[1]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst33~combout ), + .ena(\inst|inst4|inst124|inst45~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst67|dffs [1])); + .regout(\inst|inst4|inst13|dffs [1])); -// Location: LCCOMB_X31_Y16_N10 -cycloneii_lcell_comb \inst|inst4|inst64|$00000|auto_generated|result_node[1]~4 ( +// Location: LCCOMB_X33_Y14_N14 +cycloneii_lcell_comb \inst|inst4|inst15|$00000|auto_generated|result_node[1]~4 ( +// Equation(s): +// \inst|inst4|inst15|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst13|dffs [1]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst17|dffs [1])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst13|dffs [1])))) + + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst1|auto_generated|aneb_result_wire [0]), + .datac(\inst|inst4|inst17|dffs [1]), + .datad(\inst|inst4|inst13|dffs [1]), + .cin(gnd), + .combout(\inst|inst4|inst15|$00000|auto_generated|result_node[1]~4_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst15|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hFD20; +defparam \inst|inst4|inst15|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y14_N0 +cycloneii_lcell_comb \inst|inst4|inst14|dffs[1]~feeder ( // Equation(s): -// \inst|inst4|inst64|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst67|dffs [1]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst63|dffs [1])) +// \inst|inst4|inst14|dffs[1]~feeder_combout = \inst|inst4|inst15|$00000|auto_generated|result_node[1]~4_combout - .dataa(\inst|inst4|inst63|dffs [1]), - .datab(\inst|inst4|inst108~combout ), + .dataa(vcc), + .datab(\inst|inst4|inst15|$00000|auto_generated|result_node[1]~4_combout ), .datac(vcc), - .datad(\inst|inst4|inst67|dffs [1]), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst64|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\inst|inst4|inst14|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst64|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst64|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst14|dffs[1]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst14|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X31_Y16_N11 -cycloneii_lcell_ff \inst|inst4|inst65|dffs[1] ( +// Location: LCFF_X33_Y14_N1 +cycloneii_lcell_ff \inst|inst4|inst14|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst64|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\~GND~combout ), + .datain(\inst|inst4|inst14|dffs[1]~feeder_combout ), + .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst32~combout ), + .ena(\inst|inst4|inst124|inst44~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst65|dffs [1])); + .regout(\inst|inst4|inst14|dffs [1])); -// Location: LCCOMB_X27_Y16_N20 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~119 ( +// Location: LCCOMB_X33_Y14_N22 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~107 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~119_combout = (\addr~combout [0] & (((\addr~combout [1])))) # (!\addr~combout [0] & ((\addr~combout [1] & (\inst|inst4|inst68|dffs [1])) # (!\addr~combout [1] & ((\inst|inst4|inst65|dffs [1]))))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~107_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~106_combout & ((\inst|inst4|inst14|dffs [1]) # ((!\addr~combout [1])))) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~106_combout & (((\addr~combout [1] & \inst|inst4|inst13|dffs [1])))) - .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst68|dffs [1]), - .datac(\inst|inst4|inst65|dffs [1]), - .datad(\addr~combout [1]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~106_combout ), + .datab(\inst|inst4|inst14|dffs [1]), + .datac(\addr~combout [1]), + .datad(\inst|inst4|inst13|dffs [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~119_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~107_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~119 .lut_mask = 16'hEE50; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~119 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~107 .lut_mask = 16'hDA8A; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~107 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X27_Y16_N6 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~120 ( +// Location: LCCOMB_X33_Y14_N18 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~69 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~120_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~119_combout & (((\inst|inst4|inst71|dffs [1]) # (!\addr~combout [0])))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~119_combout & (\inst|inst4|inst67|dffs [1] & ((\addr~combout [0])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~69_combout = (!\addr~combout [3] & ((\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~107_combout ))) # (!\addr~combout [2] & +// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~109_combout )))) - .dataa(\inst|inst4|inst67|dffs [1]), - .datab(\inst|inst4|inst71|dffs [1]), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~119_combout ), - .datad(\addr~combout [0]), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~109_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~107_combout ), + .datac(\addr~combout [3]), + .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~120_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~69_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~120 .lut_mask = 16'hCAF0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~120 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~69 .lut_mask = 16'h0C0A; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~69 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y16_N30 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~121 ( +// Location: LCCOMB_X33_Y14_N26 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~76 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~121_combout = (\addr~combout [5] & ((\addr~combout [4]) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~120_combout -// )))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~76_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~69_combout ) # +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~75_combout & \addr~combout [3])))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout ), - .datab(\addr~combout [4]), - .datac(\addr~combout [5]), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~120_combout ), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~75_combout ), + .datac(\addr~combout [3]), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~69_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~121_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~76_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~121 .lut_mask = 16'hE0C0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~121 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~76 .lut_mask = 16'hAA80; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~76 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y16_N18 -cycloneii_lcell_comb \inst|inst4|inst72|$00000|auto_generated|result_node[1]~4 ( +// Location: LCCOMB_X26_Y11_N2 +cycloneii_lcell_comb \inst|inst4|inst81|dffs[1]~feeder ( // Equation(s): -// \inst|inst4|inst72|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst75|dffs [1])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst71|dffs [1]))) +// \inst|inst4|inst81|dffs[1]~feeder_combout = \inst|inst4|inst80|$00000|auto_generated|result_node[1]~4_combout - .dataa(\inst|inst4|inst75|dffs [1]), - .datab(\inst|inst4|inst71|dffs [1]), + .dataa(\inst|inst4|inst80|$00000|auto_generated|result_node[1]~4_combout ), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst72|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\inst|inst4|inst81|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst72|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst72|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst81|dffs[1]~feeder .lut_mask = 16'hAAAA; +defparam \inst|inst4|inst81|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X25_Y16_N19 -cycloneii_lcell_ff \inst|inst4|inst73|dffs[1] ( +// Location: LCFF_X26_Y11_N3 +cycloneii_lcell_ff \inst|inst4|inst81|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst72|$00000|auto_generated|result_node[1]~4_combout ), + .datain(\inst|inst4|inst81|dffs[1]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst36~combout ), + .ena(\inst|inst4|inst124|inst66~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst73|dffs [1])); + .regout(\inst|inst4|inst81|dffs [1])); -// Location: LCCOMB_X25_Y16_N16 -cycloneii_lcell_comb \inst|inst4|inst74|$00000|auto_generated|result_node[1]~4 ( +// Location: LCCOMB_X26_Y13_N22 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~125 ( // Equation(s): -// \inst|inst4|inst74|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst77|dffs [1])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst73|dffs [1]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~125_combout = (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst82|dffs [1])) # (!\addr~combout [0] & ((\inst|inst4|inst81|dffs [1]))))) - .dataa(\inst|inst4|inst77|dffs [1]), - .datab(\inst|inst4|inst73|dffs [1]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst82|dffs [1]), + .datab(\inst|inst4|inst81|dffs [1]), + .datac(\addr~combout [1]), + .datad(\addr~combout [0]), .cin(gnd), - .combout(\inst|inst4|inst74|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~125_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst74|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst74|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~125 .lut_mask = 16'h0A0C; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~125 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X25_Y16_N17 -cycloneii_lcell_ff \inst|inst4|inst75|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst74|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst37~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst75|dffs [1])); - -// Location: LCCOMB_X25_Y18_N2 -cycloneii_lcell_comb \inst|inst4|inst76|$00000|auto_generated|result_node[1]~4 ( +// Location: LCCOMB_X30_Y12_N16 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~123 ( // Equation(s): -// \inst|inst4|inst76|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst79|dffs [1])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst75|dffs [1]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~123_combout = (\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst95|dffs [1]))) # (!\addr~combout [0] & (\inst|inst4|inst93|dffs [1])))) - .dataa(\inst|inst4|inst79|dffs [1]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst75|dffs [1]), + .dataa(\inst|inst4|inst93|dffs [1]), + .datab(\addr~combout [1]), + .datac(\addr~combout [0]), + .datad(\inst|inst4|inst95|dffs [1]), .cin(gnd), - .combout(\inst|inst4|inst76|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~123_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst76|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst76|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~123 .lut_mask = 16'hC808; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~123 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X25_Y18_N3 -cycloneii_lcell_ff \inst|inst4|inst77|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst76|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst38~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst77|dffs [1])); +// Location: LCCOMB_X26_Y13_N20 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~124 ( +// Equation(s): +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~124_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~122_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~123_combout ))) -// Location: LCCOMB_X25_Y17_N28 -cycloneii_lcell_comb \inst|inst4|inst78|$00000|auto_generated|result_node[1]~4 ( + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~122_combout ), + .datab(vcc), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~123_combout ), + .datad(\addr~combout [2]), + .cin(gnd), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~124_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~124 .lut_mask = 16'hFA00; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~124 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X26_Y13_N2 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~127 ( // Equation(s): -// \inst|inst4|inst78|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst81|dffs [1])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst77|dffs [1]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~127_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~124_combout ) # ((!\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~126_combout ) # +// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~125_combout )))) - .dataa(\inst|inst4|inst81|dffs [1]), - .datab(\inst|inst4|inst77|dffs [1]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~126_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~125_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~124_combout ), + .datad(\addr~combout [2]), .cin(gnd), - .combout(\inst|inst4|inst78|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~127_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst78|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst78|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~127 .lut_mask = 16'hF0FE; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~127 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X25_Y17_N29 -cycloneii_lcell_ff \inst|inst4|inst79|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst78|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst39~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst79|dffs [1])); +// Location: LCCOMB_X22_Y10_N26 +cycloneii_lcell_comb \inst|inst4|inst66|$00000|auto_generated|result_node[1]~4 ( +// Equation(s): +// \inst|inst4|inst66|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst65|dffs [1]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst68|dffs [1])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst65|dffs [1])))) -// Location: LCCOMB_X24_Y17_N14 -cycloneii_lcell_comb \inst|inst4|inst80|$00000|auto_generated|result_node[1]~4 ( + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst68|dffs [1]), + .datac(\inst|inst4|inst65|dffs [1]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst66|$00000|auto_generated|result_node[1]~4_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst66|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hF0D8; +defparam \inst|inst4|inst66|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X22_Y10_N0 +cycloneii_lcell_comb \inst|inst4|inst67|dffs[1]~feeder ( // Equation(s): -// \inst|inst4|inst80|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst82|dffs [1])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst79|dffs [1]))) +// \inst|inst4|inst67|dffs[1]~feeder_combout = \inst|inst4|inst66|$00000|auto_generated|result_node[1]~4_combout - .dataa(\inst|inst4|inst82|dffs [1]), - .datab(\inst|inst4|inst108~combout ), + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst79|dffs [1]), + .datad(\inst|inst4|inst66|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\inst|inst4|inst80|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\inst|inst4|inst67|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst80|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst80|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst67|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst67|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y17_N15 -cycloneii_lcell_ff \inst|inst4|inst81|dffs[1] ( +// Location: LCFF_X22_Y10_N1 +cycloneii_lcell_ff \inst|inst4|inst67|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst80|$00000|auto_generated|result_node[1]~4_combout ), + .datain(\inst|inst4|inst67|dffs[1]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst40~combout ), + .ena(\inst|inst4|inst124|inst73~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst81|dffs [1])); + .regout(\inst|inst4|inst67|dffs [1])); -// Location: LCCOMB_X26_Y17_N10 -cycloneii_lcell_comb \inst|inst4|inst83|$00000|auto_generated|result_node[1]~4 ( +// Location: LCCOMB_X25_Y10_N2 +cycloneii_lcell_comb \inst|inst4|inst72|$00000|auto_generated|result_node[1]~4 ( +// Equation(s): +// \inst|inst4|inst72|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & ((\inst|inst4|inst71|dffs [1]))) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// (\inst|inst4|inst75|dffs [1])))) # (!\inst|inst4|inst108~0_combout & (((\inst|inst4|inst71|dffs [1])))) + + .dataa(\inst|inst4|inst75|dffs [1]), + .datab(\inst|inst4|inst108~0_combout ), + .datac(\inst|inst4|inst71|dffs [1]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst72|$00000|auto_generated|result_node[1]~4_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst72|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hF0B8; +defparam \inst|inst4|inst72|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y10_N0 +cycloneii_lcell_comb \inst|inst4|inst73|dffs[1]~feeder ( // Equation(s): -// \inst|inst4|inst83|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst85|dffs [1])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst81|dffs [1]))) +// \inst|inst4|inst73|dffs[1]~feeder_combout = \inst|inst4|inst72|$00000|auto_generated|result_node[1]~4_combout - .dataa(\inst|inst4|inst85|dffs [1]), - .datab(\inst|inst4|inst108~combout ), + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst81|dffs [1]), + .datad(\inst|inst4|inst72|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\inst|inst4|inst83|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\inst|inst4|inst73|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst83|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst83|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst73|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst73|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X26_Y17_N11 -cycloneii_lcell_ff \inst|inst4|inst82|dffs[1] ( +// Location: LCFF_X24_Y10_N1 +cycloneii_lcell_ff \inst|inst4|inst73|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst83|$00000|auto_generated|result_node[1]~4_combout ), + .datain(\inst|inst4|inst73|dffs[1]~feeder_combout ), .sdata(\~GND~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst41~combout ), + .ena(\inst|inst4|inst124|inst70~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst82|dffs [1])); + .regout(\inst|inst4|inst73|dffs [1])); -// Location: LCCOMB_X26_Y17_N30 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~125 ( +// Location: LCCOMB_X22_Y10_N4 +cycloneii_lcell_comb \inst|inst4|inst70|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~125_combout = (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst82|dffs [1]))) # (!\addr~combout [0] & (\inst|inst4|inst81|dffs [1])))) +// \inst|inst4|inst70|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst68|dffs [1])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst73|dffs [1]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst68|dffs [1])) - .dataa(\inst|inst4|inst81|dffs [1]), - .datab(\addr~combout [1]), - .datac(\addr~combout [0]), - .datad(\inst|inst4|inst82|dffs [1]), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst68|dffs [1]), + .datac(\inst|inst4|inst73|dffs [1]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~125_combout ), + .combout(\inst|inst4|inst70|$00000|auto_generated|result_node[1]~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~125 .lut_mask = 16'h3202; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~125 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst70|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst70|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X24_Y17_N8 -cycloneii_lcell_comb \inst|inst4|inst84|$00000|auto_generated|result_node[1]~4 ( +// Location: LCCOMB_X22_Y10_N2 +cycloneii_lcell_comb \inst|inst4|inst71|dffs[1]~feeder ( // Equation(s): -// \inst|inst4|inst84|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst87|dffs [1]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst82|dffs [1])) +// \inst|inst4|inst71|dffs[1]~feeder_combout = \inst|inst4|inst70|$00000|auto_generated|result_node[1]~4_combout - .dataa(\inst|inst4|inst82|dffs [1]), - .datab(\inst|inst4|inst108~combout ), + .dataa(vcc), + .datab(\inst|inst4|inst70|$00000|auto_generated|result_node[1]~4_combout ), .datac(vcc), - .datad(\inst|inst4|inst87|dffs [1]), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst84|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\inst|inst4|inst71|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst84|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst84|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst71|dffs[1]~feeder .lut_mask = 16'hCCCC; +defparam \inst|inst4|inst71|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X24_Y17_N9 -cycloneii_lcell_ff \inst|inst4|inst85|dffs[1] ( +// Location: LCFF_X22_Y10_N3 +cycloneii_lcell_ff \inst|inst4|inst71|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst84|$00000|auto_generated|result_node[1]~4_combout ), + .datain(\inst|inst4|inst71|dffs[1]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst42~combout ), + .ena(\inst|inst4|inst124|inst71~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst85|dffs [1])); + .regout(\inst|inst4|inst71|dffs [1])); -// Location: LCCOMB_X26_Y17_N28 -cycloneii_lcell_comb \inst|inst4|inst86|$00000|auto_generated|result_node[1]~4 ( +// Location: LCCOMB_X22_Y11_N6 +cycloneii_lcell_comb \inst|inst4|inst69|$00000|auto_generated|result_node[1]~4 ( // Equation(s): -// \inst|inst4|inst86|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst89|dffs [1])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst85|dffs [1]))) +// \inst|inst4|inst69|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~0_combout & ((\inst|inst1|auto_generated|aneb_result_wire [0] & (\inst|inst4|inst67|dffs [1])) # (!\inst|inst1|auto_generated|aneb_result_wire [0] & +// ((\inst|inst4|inst71|dffs [1]))))) # (!\inst|inst4|inst108~0_combout & (\inst|inst4|inst67|dffs [1])) - .dataa(\inst|inst4|inst89|dffs [1]), - .datab(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst108~0_combout ), + .datab(\inst|inst4|inst67|dffs [1]), + .datac(\inst|inst4|inst71|dffs [1]), + .datad(\inst|inst1|auto_generated|aneb_result_wire [0]), + .cin(gnd), + .combout(\inst|inst4|inst69|$00000|auto_generated|result_node[1]~4_combout ), + .cout()); +// synopsys translate_off +defparam \inst|inst4|inst69|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hCCE4; +defparam \inst|inst4|inst69|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X22_Y11_N12 +cycloneii_lcell_comb \inst|inst4|inst68|dffs[1]~feeder ( +// Equation(s): +// \inst|inst4|inst68|dffs[1]~feeder_combout = \inst|inst4|inst69|$00000|auto_generated|result_node[1]~4_combout + + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst85|dffs [1]), + .datad(\inst|inst4|inst69|$00000|auto_generated|result_node[1]~4_combout ), .cin(gnd), - .combout(\inst|inst4|inst86|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\inst|inst4|inst68|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst86|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst86|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst68|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \inst|inst4|inst68|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X26_Y17_N29 -cycloneii_lcell_ff \inst|inst4|inst87|dffs[1] ( +// Location: LCFF_X22_Y11_N13 +cycloneii_lcell_ff \inst|inst4|inst68|dffs[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst86|$00000|auto_generated|result_node[1]~4_combout ), + .datain(\inst|inst4|inst68|dffs[1]~feeder_combout ), .sdata(\inst|inst4|inst109~combout ), .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), .sclr(gnd), .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst43~combout ), + .ena(\inst|inst4|inst124|inst72~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst87|dffs [1])); + .regout(\inst|inst4|inst68|dffs [1])); -// Location: LCCOMB_X26_Y17_N24 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~126 ( +// Location: LCCOMB_X22_Y10_N22 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~119 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~126_combout = (\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst87|dffs [1]))) # (!\addr~combout [0] & (\inst|inst4|inst85|dffs [1])))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~119_combout = (\addr~combout [1] & (((\inst|inst4|inst68|dffs [1]) # (\addr~combout [0])))) # (!\addr~combout [1] & (\inst|inst4|inst65|dffs [1] & ((!\addr~combout [0])))) - .dataa(\inst|inst4|inst85|dffs [1]), - .datab(\inst|inst4|inst87|dffs [1]), - .datac(\addr~combout [0]), - .datad(\addr~combout [1]), + .dataa(\inst|inst4|inst65|dffs [1]), + .datab(\inst|inst4|inst68|dffs [1]), + .datac(\addr~combout [1]), + .datad(\addr~combout [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~126_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~119_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~126 .lut_mask = 16'hCA00; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~126 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~119 .lut_mask = 16'hF0CA; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~119 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X26_Y17_N18 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~127 ( +// Location: LCCOMB_X22_Y10_N24 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~120 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~127_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~124_combout ) # ((!\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~125_combout ) # -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~126_combout )))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~120_combout = (\addr~combout [0] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~119_combout & (\inst|inst4|inst71|dffs [1])) # +// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~119_combout & ((\inst|inst4|inst67|dffs [1]))))) # (!\addr~combout [0] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~119_combout )) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~124_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~125_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~126_combout ), - .datad(\addr~combout [2]), + .dataa(\addr~combout [0]), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~119_combout ), + .datac(\inst|inst4|inst71|dffs [1]), + .datad(\inst|inst4|inst67|dffs [1]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~127_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~120_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~127 .lut_mask = 16'hAAFE; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~127 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~120 .lut_mask = 16'hE6C4; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~120 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y16_N28 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~118 ( +// Location: LCCOMB_X26_Y13_N18 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~121 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~118_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~117_combout & (\addr~combout [5] & (!\addr~combout [3] & \addr~combout [2]))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~121_combout = (\addr~combout [5] & ((\addr~combout [4]) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~120_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout +// )))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~117_combout ), + .dataa(\addr~combout [4]), .datab(\addr~combout [5]), - .datac(\addr~combout [3]), - .datad(\addr~combout [2]), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~120_combout ), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~118_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~121_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~118 .lut_mask = 16'h0800; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~118 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~121 .lut_mask = 16'hC888; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~121 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y16_N8 +// Location: LCCOMB_X26_Y13_N12 cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~128 ( // Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~128_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~121_combout ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~118_combout ) # -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~127_combout ))) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~128_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~118_combout ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~121_combout ) # +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~127_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout ))) - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~121_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~127_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~118_combout ), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~118_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~127_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35_combout ), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~121_combout ), .cin(gnd), .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~128_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~128 .lut_mask = 16'hFFEC; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~128 .lut_mask = 16'hFFEA; defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~128 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y17_N12 -cycloneii_lcell_comb \inst|inst4|inst40|$00000|auto_generated|result_node[1]~4 ( +// Location: LCCOMB_X26_Y13_N14 +cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85 ( // Equation(s): -// \inst|inst4|inst40|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst42|dffs [1]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst39|dffs [1])) +// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~76_combout ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~128_combout & +// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~156_combout ))) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~128_combout & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~84_combout ))) - .dataa(\inst|inst4|inst39|dffs [1]), - .datab(\inst|inst4|inst42|dffs [1]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~84_combout ), + .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~156_combout ), + .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~76_combout ), + .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~128_combout ), .cin(gnd), - .combout(\inst|inst4|inst40|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst40|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst40|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85 .lut_mask = 16'hFCFA; +defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X32_Y17_N13 -cycloneii_lcell_ff \inst|inst4|inst41|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst40|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst20~combout ), +// Location: PIN_K6, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \altera_reserved_tms~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst41|dffs [1])); + .devoe(devoe), + .combout(\altera_reserved_tms~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(altera_reserved_tms)); +// synopsys translate_off +defparam \altera_reserved_tms~I .input_async_reset = "none"; +defparam \altera_reserved_tms~I .input_power_up = "low"; +defparam \altera_reserved_tms~I .input_register_mode = "none"; +defparam \altera_reserved_tms~I .input_sync_reset = "none"; +defparam \altera_reserved_tms~I .oe_async_reset = "none"; +defparam \altera_reserved_tms~I .oe_power_up = "low"; +defparam \altera_reserved_tms~I .oe_register_mode = "none"; +defparam \altera_reserved_tms~I .oe_sync_reset = "none"; +defparam \altera_reserved_tms~I .operation_mode = "input"; +defparam \altera_reserved_tms~I .output_async_reset = "none"; +defparam \altera_reserved_tms~I .output_power_up = "low"; +defparam \altera_reserved_tms~I .output_register_mode = "none"; +defparam \altera_reserved_tms~I .output_sync_reset = "none"; +// synopsys translate_on -// Location: LCCOMB_X31_Y17_N16 -cycloneii_lcell_comb \inst|inst4|inst43|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst43|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst45|dffs [1]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst41|dffs [1])) +// Location: PIN_K2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \altera_reserved_tck~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\altera_reserved_tck~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(altera_reserved_tck)); +// synopsys translate_off +defparam \altera_reserved_tck~I .input_async_reset = "none"; +defparam \altera_reserved_tck~I .input_power_up = "low"; +defparam \altera_reserved_tck~I .input_register_mode = "none"; +defparam \altera_reserved_tck~I .input_sync_reset = "none"; +defparam \altera_reserved_tck~I .oe_async_reset = "none"; +defparam \altera_reserved_tck~I .oe_power_up = "low"; +defparam \altera_reserved_tck~I .oe_register_mode = "none"; +defparam \altera_reserved_tck~I .oe_sync_reset = "none"; +defparam \altera_reserved_tck~I .operation_mode = "input"; +defparam \altera_reserved_tck~I .output_async_reset = "none"; +defparam \altera_reserved_tck~I .output_power_up = "low"; +defparam \altera_reserved_tck~I .output_register_mode = "none"; +defparam \altera_reserved_tck~I .output_sync_reset = "none"; +// synopsys translate_on - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst41|dffs [1]), - .datac(vcc), - .datad(\inst|inst4|inst45|dffs [1]), +// Location: PIN_K5, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \altera_reserved_tdi~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\altera_reserved_tdi~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(altera_reserved_tdi)); +// synopsys translate_off +defparam \altera_reserved_tdi~I .input_async_reset = "none"; +defparam \altera_reserved_tdi~I .input_power_up = "low"; +defparam \altera_reserved_tdi~I .input_register_mode = "none"; +defparam \altera_reserved_tdi~I .input_sync_reset = "none"; +defparam \altera_reserved_tdi~I .oe_async_reset = "none"; +defparam \altera_reserved_tdi~I .oe_power_up = "low"; +defparam \altera_reserved_tdi~I .oe_register_mode = "none"; +defparam \altera_reserved_tdi~I .oe_sync_reset = "none"; +defparam \altera_reserved_tdi~I .operation_mode = "input"; +defparam \altera_reserved_tdi~I .output_async_reset = "none"; +defparam \altera_reserved_tdi~I .output_power_up = "low"; +defparam \altera_reserved_tdi~I .output_register_mode = "none"; +defparam \altera_reserved_tdi~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X11_Y17_N30 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~1 ( + .dataa(vcc), + .datab(vcc), + .datac(\altera_internal_jtag~TMSUTAP ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [2]), .cin(gnd), - .combout(\inst|inst4|inst43|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst43|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst43|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~1 .lut_mask = 16'hF000; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X31_Y17_N17 -cycloneii_lcell_ff \inst|inst4|inst42|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst43|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X11_Y17_N31 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[9] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~1_combout ), + .sdata(gnd), + .aclr(gnd), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst21~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst42|dffs [1])); - -// Location: LCCOMB_X35_Y18_N0 -cycloneii_lcell_comb \inst|inst4|inst62|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst62|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst65|dffs [1])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst61|dffs [1]))) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [9])); - .dataa(\inst|inst4|inst65|dffs [1]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst61|dffs [1]), +// Location: LCCOMB_X11_Y17_N0 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~8 ( + .dataa(vcc), + .datab(vcc), + .datac(\altera_internal_jtag~TMSUTAP ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [9]), .cin(gnd), - .combout(\inst|inst4|inst62|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~8_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst62|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst62|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~8 .lut_mask = 16'h0F00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~8 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X35_Y18_N1 -cycloneii_lcell_ff \inst|inst4|inst63|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst62|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X11_Y17_N1 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[10] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~8_combout ), + .sdata(gnd), + .aclr(gnd), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst31~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst63|dffs [1])); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [10])); -// Location: LCCOMB_X35_Y18_N26 -cycloneii_lcell_comb \inst|inst4|inst60|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst60|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst63|dffs [1]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst59|dffs [1])) +// Location: LCCOMB_X11_Y17_N26 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~9 ( + .dataa(vcc), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [10]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [11]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [14]), + .cin(gnd), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~9_combout ), + .cout()); +// synopsys translate_off +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~9 .lut_mask = 16'hFFFC; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~9 .sum_lutc_input = "datac"; +// synopsys translate_on - .dataa(\inst|inst4|inst59|dffs [1]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst63|dffs [1]), +// Location: LCFF_X11_Y17_N27 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[11] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~9_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\altera_internal_jtag~TMSUTAP ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [11])); + +// Location: LCCOMB_X11_Y17_N18 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~10 ( + .dataa(vcc), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [10]), + .datac(\altera_internal_jtag~TMSUTAP ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [11]), .cin(gnd), - .combout(\inst|inst4|inst60|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~10_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst60|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst60|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~10 .lut_mask = 16'hF0C0; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~10 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X35_Y18_N27 -cycloneii_lcell_ff \inst|inst4|inst61|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst60|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X11_Y17_N19 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[12] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~10_combout ), + .sdata(gnd), + .aclr(gnd), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst30~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst61|dffs [1])); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [12])); -// Location: LCCOMB_X37_Y18_N0 -cycloneii_lcell_comb \inst|inst4|inst58|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst58|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst61|dffs [1])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst57|dffs [1]))) +// Location: LCCOMB_X11_Y17_N12 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~11 ( + .dataa(vcc), + .datab(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [13]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [12]), + .cin(gnd), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~11_combout ), + .cout()); +// synopsys translate_off +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~11 .lut_mask = 16'hFFF0; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~11 .sum_lutc_input = "datac"; +// synopsys translate_on - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst61|dffs [1]), - .datac(vcc), - .datad(\inst|inst4|inst57|dffs [1]), +// Location: LCFF_X11_Y17_N13 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[13] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~11_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\altera_internal_jtag~TMSUTAP ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [13])); + +// Location: LCCOMB_X11_Y17_N28 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~12 ( + .dataa(vcc), + .datab(vcc), + .datac(\altera_internal_jtag~TMSUTAP ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [13]), .cin(gnd), - .combout(\inst|inst4|inst58|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~12_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst58|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst58|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~12 .lut_mask = 16'hF000; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~12 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X37_Y18_N1 -cycloneii_lcell_ff \inst|inst4|inst59|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst58|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X11_Y17_N29 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[14] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~12_combout ), + .sdata(gnd), + .aclr(gnd), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst29~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst59|dffs [1])); - -// Location: LCCOMB_X37_Y18_N18 -cycloneii_lcell_comb \inst|inst4|inst56|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst56|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst59|dffs [1]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst54|dffs [1])) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [14])); - .dataa(\inst|inst4|inst54|dffs [1]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst59|dffs [1]), +// Location: LCCOMB_X11_Y17_N14 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_dr_scan_proc~0 ( + .dataa(vcc), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [14]), + .datac(\altera_internal_jtag~TMSUTAP ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [12]), .cin(gnd), - .combout(\inst|inst4|inst56|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_dr_scan_proc~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst56|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst56|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_dr_scan_proc~0 .lut_mask = 16'hF0C0; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_dr_scan_proc~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X37_Y18_N19 -cycloneii_lcell_ff \inst|inst4|inst57|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst56|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X11_Y17_N15 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[15] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_dr_scan_proc~0_combout ), + .sdata(gnd), + .aclr(gnd), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst28~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst57|dffs [1])); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [15])); -// Location: LCCOMB_X36_Y18_N0 -cycloneii_lcell_comb \inst|inst4|inst55|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst55|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst57|dffs [1]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst53|dffs [1])) +// Location: LCCOMB_X12_Y17_N0 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~4 ( + .dataa(vcc), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [7]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .cin(gnd), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~4_combout ), + .cout()); +// synopsys translate_off +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~4 .lut_mask = 16'hFFFC; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~4 .sum_lutc_input = "datac"; +// synopsys translate_on - .dataa(\inst|inst4|inst53|dffs [1]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst57|dffs [1]), +// Location: LCFF_X12_Y17_N1 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[4] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~4_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\altera_internal_jtag~TMSUTAP ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4])); + +// Location: LCCOMB_X12_Y17_N6 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~5 ( + .dataa(vcc), + .datab(\altera_internal_jtag~TMSUTAP ), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), .cin(gnd), - .combout(\inst|inst4|inst55|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst55|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst55|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~5 .lut_mask = 16'hCCC0; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X36_Y18_N1 -cycloneii_lcell_ff \inst|inst4|inst54|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst55|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X12_Y17_N7 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[5] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~5_combout ), + .sdata(gnd), + .aclr(gnd), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst27~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst54|dffs [1])); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [5])); -// Location: LCCOMB_X35_Y17_N30 -cycloneii_lcell_comb \inst|inst4|inst52|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst52|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst54|dffs [1]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst51|dffs [1])) +// Location: LCCOMB_X12_Y17_N26 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~6 ( + .dataa(vcc), + .datab(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [6]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [5]), + .cin(gnd), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~6_combout ), + .cout()); +// synopsys translate_off +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~6 .lut_mask = 16'hFFF0; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X12_Y17_N27 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[6] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~6_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\altera_internal_jtag~TMSUTAP ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [6])); - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst51|dffs [1]), +// Location: LCCOMB_X12_Y17_N8 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~7 ( + .dataa(vcc), + .datab(\altera_internal_jtag~TMSUTAP ), .datac(vcc), - .datad(\inst|inst4|inst54|dffs [1]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [6]), .cin(gnd), - .combout(\inst|inst4|inst52|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~7_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst52|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst52|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~7 .lut_mask = 16'hCC00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~7 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X35_Y17_N31 -cycloneii_lcell_ff \inst|inst4|inst53|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst52|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X12_Y17_N9 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[7] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~7_combout ), + .sdata(gnd), + .aclr(gnd), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst26~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst53|dffs [1])); - -// Location: LCCOMB_X35_Y17_N28 -cycloneii_lcell_comb \inst|inst4|inst50|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst50|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst53|dffs [1]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst49|dffs [1])) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [7])); - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst49|dffs [1]), - .datac(vcc), - .datad(\inst|inst4|inst53|dffs [1]), +// Location: LCCOMB_X12_Y17_N18 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_proc~0 ( + .dataa(vcc), + .datab(\altera_internal_jtag~TMSUTAP ), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [7]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [5]), .cin(gnd), - .combout(\inst|inst4|inst50|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_proc~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst50|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst50|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_proc~0 .lut_mask = 16'hCCC0; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_proc~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X35_Y17_N29 -cycloneii_lcell_ff \inst|inst4|inst51|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst50|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X12_Y17_N19 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[8] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_proc~0_combout ), + .sdata(gnd), + .aclr(gnd), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst25~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst51|dffs [1])); - -// Location: LCCOMB_X34_Y17_N0 -cycloneii_lcell_comb \inst|inst4|inst48|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst48|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst51|dffs [1]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst47|dffs [1])) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8])); - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst47|dffs [1]), - .datac(vcc), - .datad(\inst|inst4|inst51|dffs [1]), +// Location: LCCOMB_X11_Y17_N2 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~2 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [1]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [15]), + .datac(\altera_internal_jtag~TMSUTAP ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), .cin(gnd), - .combout(\inst|inst4|inst48|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst48|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst48|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~2 .lut_mask = 16'hF0E0; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X34_Y17_N1 -cycloneii_lcell_ff \inst|inst4|inst49|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst48|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X11_Y17_N3 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[2] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~2_combout ), + .sdata(gnd), + .aclr(gnd), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst24~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst49|dffs [1])); - -// Location: LCCOMB_X33_Y17_N18 -cycloneii_lcell_comb \inst|inst4|inst46|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst46|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst49|dffs [1])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst45|dffs [1]))) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [2])); - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst49|dffs [1]), - .datac(vcc), - .datad(\inst|inst4|inst45|dffs [1]), +// Location: LCCOMB_X11_Y17_N4 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~3 ( + .dataa(vcc), + .datab(vcc), + .datac(\altera_internal_jtag~TMSUTAP ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [2]), .cin(gnd), - .combout(\inst|inst4|inst46|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst46|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst46|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~3 .lut_mask = 16'h0F00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X33_Y17_N19 -cycloneii_lcell_ff \inst|inst4|inst47|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst46|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X11_Y17_N5 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[3] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~3_combout ), + .sdata(gnd), + .aclr(gnd), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst23~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst47|dffs [1])); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3])); -// Location: LCCOMB_X33_Y17_N8 -cycloneii_lcell_comb \inst|inst4|inst44|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst44|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst47|dffs [1]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst42|dffs [1])) +// Location: LCCOMB_X15_Y18_N2 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~3 ( + .dataa(vcc), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datac(\altera_internal_jtag~TDIUTAP ), + .datad(vcc), + .cin(gnd), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~3_combout ), + .cout()); +// synopsys translate_off +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~3 .lut_mask = 16'h3030; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~3 .sum_lutc_input = "datac"; +// synopsys translate_on - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst42|dffs [1]), - .datac(vcc), - .datad(\inst|inst4|inst47|dffs [1]), +// Location: LCCOMB_X15_Y18_N0 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~0 ( + .dataa(vcc), + .datab(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), .cin(gnd), - .combout(\inst|inst4|inst44|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst44|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst44|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~0 .lut_mask = 16'hFFF0; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X33_Y17_N9 -cycloneii_lcell_ff \inst|inst4|inst45|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst44|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X15_Y18_N3 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg[3] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~3_combout ), + .sdata(gnd), + .aclr(gnd), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst22~combout ), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst45|dffs [1])); - -// Location: LCCOMB_X31_Y17_N28 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~112 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~112_combout = (\addr~combout [0] & (((\addr~combout [1]) # (\inst|inst4|inst42|dffs [1])))) # (!\addr~combout [0] & (\inst|inst4|inst41|dffs [1] & (!\addr~combout [1]))) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg [3])); - .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst41|dffs [1]), - .datac(\addr~combout [1]), - .datad(\inst|inst4|inst42|dffs [1]), +// Location: LCCOMB_X15_Y18_N12 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~2 ( + .dataa(vcc), + .datab(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg [3]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~112_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~112 .lut_mask = 16'hAEA4; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~112 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~2 .lut_mask = 16'hFFF0; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y17_N14 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~113 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~113_combout = (\addr~combout [1] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~112_combout & (\inst|inst4|inst47|dffs [1])) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~112_combout & ((\inst|inst4|inst45|dffs [1]))))) # (!\addr~combout [1] & (((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~112_combout )))) +// Location: LCFF_X15_Y18_N13 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg[2] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~2_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg [2])); - .dataa(\inst|inst4|inst47|dffs [1]), - .datab(\inst|inst4|inst45|dffs [1]), - .datac(\addr~combout [1]), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~112_combout ), +// Location: LCCOMB_X15_Y18_N10 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~1 ( + .dataa(vcc), + .datab(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg [2]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~113_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~113 .lut_mask = 16'hAFC0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~113 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~1 .lut_mask = 16'h0F00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y17_N10 -cycloneii_lcell_comb \inst|inst4|inst38|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst38|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst41|dffs [1])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst37|dffs [1]))) +// Location: LCFF_X15_Y18_N11 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg[1] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~1_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg [1])); - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst41|dffs [1]), - .datac(vcc), - .datad(\inst|inst4|inst37|dffs [1]), +// Location: LCCOMB_X15_Y18_N28 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~0 ( + .dataa(vcc), + .datab(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg [1]), .cin(gnd), - .combout(\inst|inst4|inst38|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst38|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst38|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~0 .lut_mask = 16'hFFF0; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X31_Y17_N11 -cycloneii_lcell_ff \inst|inst4|inst39|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst38|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X15_Y18_N29 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg[0] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~0_combout ), + .sdata(gnd), + .aclr(gnd), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst19~combout ), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst39|dffs [1])); - -// Location: LCCOMB_X31_Y18_N18 -cycloneii_lcell_comb \inst|inst4|inst36|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst36|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst39|dffs [1]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst35|dffs [1])) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg [0])); - .dataa(\inst|inst4|inst35|dffs [1]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst39|dffs [1]), +// Location: LCCOMB_X9_Y17_N28 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~1 ( + .dataa(vcc), + .datab(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt [0]), + .datad(\altera_internal_jtag~TMSUTAP ), .cin(gnd), - .combout(\inst|inst4|inst36|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst36|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst36|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~1 .lut_mask = 16'h0F00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X31_Y18_N19 -cycloneii_lcell_ff \inst|inst4|inst37|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst36|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X9_Y17_N29 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt[0] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~1_combout ), + .sdata(gnd), + .aclr(gnd), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst18~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst37|dffs [1])); - -// Location: LCCOMB_X34_Y14_N16 -cycloneii_lcell_comb \inst|inst4|inst1|$00000|auto_generated|result_node[1] ( -// Equation(s): -// \inst|inst4|inst1|$00000|auto_generated|result_node [1] = (\inst|inst4|inst2|dffs [1]) # (!\inst|inst4|inst108~combout ) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt [0])); +// Location: LCCOMB_X9_Y17_N2 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~2 ( .dataa(vcc), - .datab(\inst|inst4|inst2|dffs [1]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .datab(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt [1]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt [0]), .cin(gnd), - .combout(\inst|inst4|inst1|$00000|auto_generated|result_node [1]), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst1|$00000|auto_generated|result_node[1] .lut_mask = 16'hCCFF; -defparam \inst|inst4|inst1|$00000|auto_generated|result_node[1] .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~2 .lut_mask = 16'h0FF0; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X34_Y14_N17 -cycloneii_lcell_ff \inst|inst4|inst|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst1|$00000|auto_generated|result_node [1]), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst~combout ), +// Location: LCFF_X9_Y17_N3 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt[1] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~2_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(!\altera_internal_jtag~TMSUTAP ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst|dffs [1])); - -// Location: LCCOMB_X33_Y15_N24 -cycloneii_lcell_comb \inst|inst4|inst3|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst3|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst5|dffs [1]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst|dffs [1])) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt [1])); - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst|dffs [1]), - .datac(vcc), - .datad(\inst|inst4|inst5|dffs [1]), +// Location: LCCOMB_X9_Y17_N8 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~0 ( + .dataa(vcc), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt [1]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt [2]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt [0]), .cin(gnd), - .combout(\inst|inst4|inst3|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst3|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst3|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~0 .lut_mask = 16'h3CF0; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X33_Y15_N25 -cycloneii_lcell_ff \inst|inst4|inst2|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst3|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst1~combout ), +// Location: LCFF_X9_Y17_N9 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt[2] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~0_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(!\altera_internal_jtag~TMSUTAP ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst2|dffs [1])); - -// Location: LCCOMB_X34_Y15_N22 -cycloneii_lcell_comb \inst|inst4|inst4|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst4|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst7|dffs [1])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst2|dffs [1]))) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt [2])); - .dataa(\inst|inst4|inst7|dffs [1]), - .datab(\inst|inst4|inst2|dffs [1]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), +// Location: LCCOMB_X11_Y17_N8 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~0 ( + .dataa(\altera_internal_jtag~TMSUTAP ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt [2]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [0]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [9]), .cin(gnd), - .combout(\inst|inst4|inst4|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst4|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst4|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~0 .lut_mask = 16'h5575; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X34_Y15_N23 -cycloneii_lcell_ff \inst|inst4|inst5|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst4|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X11_Y17_N9 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~0_combout ), + .sdata(gnd), + .aclr(gnd), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst2~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst5|dffs [1])); - -// Location: LCCOMB_X33_Y15_N2 -cycloneii_lcell_comb \inst|inst4|inst6|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst6|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst9|dffs [1])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst5|dffs [1]))) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [0])); - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst9|dffs [1]), - .datac(vcc), - .datad(\inst|inst4|inst5|dffs [1]), - .cin(gnd), - .combout(\inst|inst4|inst6|$00000|auto_generated|result_node[1]~4_combout ), - .cout()); +// Location: CLKCTRL_G3 +cycloneii_clkctrl \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl ( + .ena(vcc), + .inclk({gnd,gnd,gnd,\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [0]}), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl_outclk )); // synopsys translate_off -defparam \inst|inst4|inst6|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst6|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl .clock_type = "global clock"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl .ena_register_mode = "none"; // synopsys translate_on -// Location: LCFF_X33_Y15_N3 -cycloneii_lcell_ff \inst|inst4|inst7|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst6|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X10_Y17_N29 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[9] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\altera_internal_jtag~TDIUTAP ), + .aclr(!\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl_outclk ), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst3~combout ), + .sload(vcc), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [11]), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst7|dffs [1])); - -// Location: LCCOMB_X32_Y15_N10 -cycloneii_lcell_comb \inst|inst4|inst8|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst8|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst11|dffs [1])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst7|dffs [1]))) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [9])); - .dataa(\inst|inst4|inst11|dffs [1]), - .datab(\inst|inst4|inst108~combout ), +// Location: LCCOMB_X10_Y17_N22 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[8]~feeder ( + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst7|dffs [1]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [9]), .cin(gnd), - .combout(\inst|inst4|inst8|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[8]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst8|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst8|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[8]~feeder .lut_mask = 16'hFF00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[8]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X32_Y15_N11 -cycloneii_lcell_ff \inst|inst4|inst9|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst8|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X10_Y17_N23 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[8] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[8]~feeder_combout ), + .sdata(gnd), + .aclr(!\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl_outclk ), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst4~combout ), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [11]), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst9|dffs [1])); - -// Location: LCCOMB_X36_Y15_N14 -cycloneii_lcell_comb \inst|inst4|inst10|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst10|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst13|dffs [1])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst9|dffs [1]))) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [8])); - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst13|dffs [1]), +// Location: LCCOMB_X10_Y17_N16 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[7]~feeder ( + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst9|dffs [1]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [8]), .cin(gnd), - .combout(\inst|inst4|inst10|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[7]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst10|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst10|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[7]~feeder .lut_mask = 16'hFF00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[7]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X36_Y15_N15 -cycloneii_lcell_ff \inst|inst4|inst11|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst10|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X10_Y17_N17 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[7] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[7]~feeder_combout ), + .sdata(gnd), + .aclr(!\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl_outclk ), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst5~combout ), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [11]), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst11|dffs [1])); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [7])); -// Location: LCCOMB_X36_Y15_N4 -cycloneii_lcell_comb \inst|inst4|inst12|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst12|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst14|dffs [1])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst11|dffs [1]))) +// Location: LCFF_X10_Y17_N27 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[6] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [7]), + .aclr(!\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [11]), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [6])); - .dataa(\inst|inst4|inst14|dffs [1]), - .datab(\inst|inst4|inst108~combout ), +// Location: LCCOMB_X10_Y17_N6 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[5]~feeder ( + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst11|dffs [1]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [6]), .cin(gnd), - .combout(\inst|inst4|inst12|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst12|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst12|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[5]~feeder .lut_mask = 16'hFF00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X36_Y15_N5 -cycloneii_lcell_ff \inst|inst4|inst13|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst12|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X10_Y17_N7 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[5] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[5]~feeder_combout ), + .sdata(gnd), + .aclr(!\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl_outclk ), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst6~combout ), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [11]), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst13|dffs [1])); - -// Location: LCCOMB_X35_Y15_N0 -cycloneii_lcell_comb \inst|inst4|inst15|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst15|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst17|dffs [1])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst13|dffs [1]))) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [5])); - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst17|dffs [1]), +// Location: LCCOMB_X10_Y17_N8 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[4]~feeder ( + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst13|dffs [1]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [5]), .cin(gnd), - .combout(\inst|inst4|inst15|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[4]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst15|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst15|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[4]~feeder .lut_mask = 16'hFF00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X35_Y15_N1 -cycloneii_lcell_ff \inst|inst4|inst14|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst15|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X10_Y17_N9 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[4] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[4]~feeder_combout ), + .sdata(gnd), + .aclr(!\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl_outclk ), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst7~combout ), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [11]), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst14|dffs [1])); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [4])); -// Location: LCCOMB_X34_Y15_N24 -cycloneii_lcell_comb \inst|inst4|inst16|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst16|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst19|dffs [1])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst14|dffs [1]))) +// Location: LCFF_X10_Y17_N13 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[3] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [4]), + .aclr(!\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [11]), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [3])); - .dataa(\inst|inst4|inst19|dffs [1]), - .datab(\inst|inst4|inst14|dffs [1]), +// Location: LCCOMB_X10_Y17_N10 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[2]~0 ( + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [3]), .cin(gnd), - .combout(\inst|inst4|inst16|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[2]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst16|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst16|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[2]~0 .lut_mask = 16'h00FF; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[2]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X34_Y15_N25 -cycloneii_lcell_ff \inst|inst4|inst17|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst16|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X10_Y17_N11 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[2] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[2]~0_combout ), + .sdata(gnd), + .aclr(!\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl_outclk ), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst8~combout ), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [11]), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst17|dffs [1])); - -// Location: LCCOMB_X35_Y15_N10 -cycloneii_lcell_comb \inst|inst4|inst18|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst18|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst21|dffs [1]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst17|dffs [1])) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [2])); - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst17|dffs [1]), +// Location: LCCOMB_X10_Y17_N20 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[1]~feeder ( + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst21|dffs [1]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [2]), .cin(gnd), - .combout(\inst|inst4|inst18|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst18|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst18|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[1]~feeder .lut_mask = 16'hFF00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X35_Y15_N11 -cycloneii_lcell_ff \inst|inst4|inst19|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst18|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X10_Y17_N21 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[1] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[1]~feeder_combout ), + .sdata(gnd), + .aclr(!\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl_outclk ), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst9~combout ), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [11]), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst19|dffs [1])); - -// Location: LCCOMB_X34_Y16_N30 -cycloneii_lcell_comb \inst|inst4|inst20|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst20|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst23|dffs [1]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst19|dffs [1])) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [1])); - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst19|dffs [1]), - .datac(vcc), - .datad(\inst|inst4|inst23|dffs [1]), +// Location: LCCOMB_X10_Y17_N30 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[0]~1 ( + .dataa(vcc), + .datab(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [1]), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst20|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[0]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst20|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst20|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[0]~1 .lut_mask = 16'h0F0F; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[0]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X34_Y16_N31 -cycloneii_lcell_ff \inst|inst4|inst21|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst20|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X10_Y17_N31 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[0] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[0]~1_combout ), + .sdata(gnd), + .aclr(!\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl_outclk ), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst10~combout ), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [11]), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst21|dffs [1])); - -// Location: LCCOMB_X34_Y16_N12 -cycloneii_lcell_comb \inst|inst4|inst22|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst22|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst25|dffs [1]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst21|dffs [1])) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [0])); - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst21|dffs [1]), - .datac(vcc), - .datad(\inst|inst4|inst25|dffs [1]), +// Location: LCCOMB_X10_Y17_N12 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~1 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [5]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [4]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [3]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [2]), + .cin(gnd), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~1_combout ), + .cout()); +// synopsys translate_off +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~1 .lut_mask = 16'h0010; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X10_Y17_N24 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal1~0 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~0_combout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [0]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [1]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~1_combout ), .cin(gnd), - .combout(\inst|inst4|inst22|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal1~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst22|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst22|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal1~0 .lut_mask = 16'h0200; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal1~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X34_Y16_N13 -cycloneii_lcell_ff \inst|inst4|inst23|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst22|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X10_Y17_N25 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal1~0_combout ), + .sdata(gnd), + .aclr(!\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl_outclk ), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst11~combout ), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_dr_scan_proc~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst23|dffs [1])); - -// Location: LCCOMB_X35_Y16_N18 -cycloneii_lcell_comb \inst|inst4|inst24|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst24|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst27|dffs [1])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst23|dffs [1]))) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout )); - .dataa(\inst|inst4|inst27|dffs [1]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst23|dffs [1]), +// Location: LCCOMB_X12_Y17_N20 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg_proc~0 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [5]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [7]), + .datad(\altera_internal_jtag~TMSUTAP ), .cin(gnd), - .combout(\inst|inst4|inst24|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg_proc~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst24|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst24|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg_proc~0 .lut_mask = 16'hC800; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg_proc~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X35_Y16_N19 -cycloneii_lcell_ff \inst|inst4|inst25|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst24|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst12~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst25|dffs [1])); - -// Location: LCCOMB_X33_Y16_N18 -cycloneii_lcell_comb \inst|inst4|inst26|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst26|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst28|dffs [1]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst25|dffs [1])) - - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst25|dffs [1]), - .datac(vcc), - .datad(\inst|inst4|inst28|dffs [1]), +// Location: LCCOMB_X15_Y17_N24 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~4 ( + .dataa(vcc), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8]), + .datad(\altera_internal_jtag~TDIUTAP ), .cin(gnd), - .combout(\inst|inst4|inst26|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst26|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst26|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~4 .lut_mask = 16'hFC30; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X33_Y16_N19 -cycloneii_lcell_ff \inst|inst4|inst27|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst26|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst13~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst27|dffs [1])); - -// Location: LCCOMB_X33_Y16_N12 -cycloneii_lcell_comb \inst|inst4|inst29|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst29|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst31|dffs [1]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst27|dffs [1])) - - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst27|dffs [1]), - .datac(vcc), - .datad(\inst|inst4|inst31|dffs [1]), +// Location: LCCOMB_X11_Y17_N16 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~1 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [0]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [15]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [1]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), .cin(gnd), - .combout(\inst|inst4|inst29|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst29|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst29|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~1 .lut_mask = 16'hFFFD; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X33_Y16_N13 -cycloneii_lcell_ff \inst|inst4|inst28|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst29|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst14~combout ), +// Location: LCFF_X11_Y17_N17 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[1] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~1_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\altera_internal_jtag~TMSUTAP ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst28|dffs [1])); - -// Location: LCCOMB_X33_Y18_N12 -cycloneii_lcell_comb \inst|inst4|inst30|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst30|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst33|dffs [1])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst28|dffs [1]))) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [1])); - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst33|dffs [1]), +// Location: LCCOMB_X12_Y17_N28 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg_proc~0 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg [2]), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst28|dffs [1]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [1]), .cin(gnd), - .combout(\inst|inst4|inst30|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg_proc~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst30|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst30|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg_proc~0 .lut_mask = 16'hAA00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg_proc~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X33_Y18_N13 -cycloneii_lcell_ff \inst|inst4|inst31|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst30|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X12_Y17_N29 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg_proc~0_combout ), + .sdata(gnd), + .aclr(gnd), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst15~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst31|dffs [1])); - -// Location: LCCOMB_X32_Y18_N18 -cycloneii_lcell_comb \inst|inst4|inst32|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst32|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst35|dffs [1])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst31|dffs [1]))) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~regout )); - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst35|dffs [1]), - .datac(vcc), - .datad(\inst|inst4|inst31|dffs [1]), - .cin(gnd), - .combout(\inst|inst4|inst32|$00000|auto_generated|result_node[1]~4_combout ), - .cout()); +// Location: CLKCTRL_G9 +cycloneii_clkctrl \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl ( + .ena(vcc), + .inclk({gnd,gnd,gnd,\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~regout }), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk )); // synopsys translate_off -defparam \inst|inst4|inst32|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst32|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl .clock_type = "global clock"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl .ena_register_mode = "none"; // synopsys translate_on -// Location: LCFF_X32_Y18_N19 -cycloneii_lcell_ff \inst|inst4|inst33|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst32|$00000|auto_generated|result_node[1]~4_combout ), +// Location: LCFF_X15_Y17_N25 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[8] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~4_combout ), .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), + .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst16~combout ), + .sload(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst33|dffs [1])); - -// Location: LCCOMB_X31_Y18_N24 -cycloneii_lcell_comb \inst|inst4|inst34|$00000|auto_generated|result_node[1]~4 ( -// Equation(s): -// \inst|inst4|inst34|$00000|auto_generated|result_node[1]~4_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst37|dffs [1])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst33|dffs [1]))) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8])); - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst37|dffs [1]), - .datac(vcc), - .datad(\inst|inst4|inst33|dffs [1]), +// Location: LCCOMB_X15_Y17_N30 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[0]~3 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal3~0_combout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg_proc~0_combout ), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg [0]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8]), .cin(gnd), - .combout(\inst|inst4|inst34|$00000|auto_generated|result_node[1]~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[0]~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst34|$00000|auto_generated|result_node[1]~4 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst34|$00000|auto_generated|result_node[1]~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[0]~3 .lut_mask = 16'h30B8; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[0]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X31_Y18_N25 -cycloneii_lcell_ff \inst|inst4|inst35|dffs[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst34|$00000|auto_generated|result_node[1]~4_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X15_Y17_N31 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[0] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[0]~3_combout ), + .sdata(gnd), + .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst17~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst35|dffs [1])); - -// Location: LCCOMB_X31_Y18_N0 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~114 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~114_combout = (\addr~combout [1] & (((\addr~combout [0]) # (\inst|inst4|inst37|dffs [1])))) # (!\addr~combout [1] & (\inst|inst4|inst33|dffs [1] & (!\addr~combout [0]))) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg [0])); - .dataa(\addr~combout [1]), - .datab(\inst|inst4|inst33|dffs [1]), - .datac(\addr~combout [0]), - .datad(\inst|inst4|inst37|dffs [1]), +// Location: LCCOMB_X15_Y17_N22 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~7 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datab(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~114_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~7_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~114 .lut_mask = 16'hAEA4; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~114 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~7 .lut_mask = 16'hFA50; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~7 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X31_Y18_N10 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~115 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~115_combout = (\addr~combout [0] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~114_combout & (\inst|inst4|inst39|dffs [1])) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~114_combout & ((\inst|inst4|inst35|dffs [1]))))) # (!\addr~combout [0] & (((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~114_combout )))) - - .dataa(\inst|inst4|inst39|dffs [1]), - .datab(\addr~combout [0]), - .datac(\inst|inst4|inst35|dffs [1]), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~114_combout ), +// Location: LCCOMB_X15_Y17_N8 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~8 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [3]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~7_combout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~115_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~8_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~115 .lut_mask = 16'hBBC0; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~115 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~8 .lut_mask = 16'hFAF2; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~8 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y17_N4 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~77 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~77_combout = (!\addr~combout [3] & ((\addr~combout [2] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~113_combout )) # (!\addr~combout [2] & -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~115_combout ))))) - - .dataa(\addr~combout [2]), - .datab(\addr~combout [3]), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~113_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~115_combout ), +// Location: LCCOMB_X15_Y17_N18 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~9 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~6_combout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~8_combout ), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [3]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~77_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~9_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~77 .lut_mask = 16'h3120; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~77 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~9 .lut_mask = 16'hB8F0; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~9 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y17_N22 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~84 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~84_combout = (\addr~combout [4] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~77_combout ) # -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~83_combout & \addr~combout [3])))) +// Location: LCFF_X15_Y17_N19 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~9_combout ), + .sdata(gnd), + .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [3])); - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~83_combout ), - .datab(\addr~combout [3]), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~77_combout ), - .datad(\addr~combout [4]), +// Location: LCCOMB_X16_Y17_N18 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~3 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2]~regout ), + .datab(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [3]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~84_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~3_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~84 .lut_mask = 16'hF800; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~84 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~3 .lut_mask = 16'hAFA0; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X29_Y16_N2 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~76_combout ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~128_combout & -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~156_combout )) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~128_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~84_combout )))) - - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~76_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~156_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~128_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~84_combout ), +// Location: LCCOMB_X15_Y17_N16 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[2]~1 ( + .dataa(vcc), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [3]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg [0]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[2]~1_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85 .lut_mask = 16'hEFEA; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[2]~1 .lut_mask = 16'hCFC0; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[2]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y20_N0 -cycloneii_lcell_comb \inst|inst5|inst5|inst|inst2~0 ( -// Equation(s): -// \inst|inst5|inst5|inst|inst2~0_combout = (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout & (\inst|inst5|inst|inst5|inst2~2_combout $ (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ))) - - .dataa(vcc), - .datab(\inst|inst5|inst|inst5|inst2~2_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), +// Location: LCCOMB_X15_Y17_N2 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[2]~1_combout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), .cin(gnd), - .combout(\inst|inst5|inst5|inst|inst2~0_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst5|inst5|inst|inst2~0 .lut_mask = 16'h030C; -defparam \inst|inst5|inst5|inst|inst2~0 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2 .lut_mask = 16'hE400; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y20_N2 -cycloneii_lcell_comb \inst|inst5|inst5|inst1|inst3 ( -// Equation(s): -// \inst|inst5|inst5|inst1|inst3~combout = \inst|inst5|inst|inst7|inst3~combout $ (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout $ (\inst|inst5|inst5|inst|inst2~0_combout )) +// Location: LCFF_X16_Y17_N19 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[2] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~3_combout ), + .sdata(gnd), + .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [2])); - .dataa(vcc), - .datab(\inst|inst5|inst|inst7|inst3~combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout ), - .datad(\inst|inst5|inst5|inst|inst2~0_combout ), +// Location: LCCOMB_X16_Y17_N4 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~5 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][1]~regout ), + .datab(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [2]), .cin(gnd), - .combout(\inst|inst5|inst5|inst1|inst3~combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~5_combout ), .cout()); // synopsys translate_off -defparam \inst|inst5|inst5|inst1|inst3 .lut_mask = 16'hC33C; -defparam \inst|inst5|inst5|inst1|inst3 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~5 .lut_mask = 16'hAFA0; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y20_N30 -cycloneii_lcell_comb \inst|inst5|inst5|inst2|inst3 ( -// Equation(s): -// \inst|inst5|inst5|inst2|inst3~combout = \inst|inst5|inst5|inst2|inst~combout $ (((\inst|inst5|inst|inst7|inst3~combout & ((\inst|inst5|inst5|inst|inst2~0_combout ) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout ))) # -// (!\inst|inst5|inst|inst7|inst3~combout & (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout & \inst|inst5|inst5|inst|inst2~0_combout )))) +// Location: LCFF_X16_Y17_N5 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[1] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~5_combout ), + .sdata(gnd), + .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [1])); - .dataa(\inst|inst5|inst5|inst2|inst~combout ), - .datab(\inst|inst5|inst|inst7|inst3~combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout ), - .datad(\inst|inst5|inst5|inst|inst2~0_combout ), +// Location: LCCOMB_X16_Y17_N0 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~0 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~regout ), + .datab(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [1]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), .cin(gnd), - .combout(\inst|inst5|inst5|inst2|inst3~combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst5|inst5|inst2|inst3 .lut_mask = 16'h65A6; -defparam \inst|inst5|inst5|inst2|inst3 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~0 .lut_mask = 16'hAAF0; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y20_N4 -cycloneii_lcell_comb \inst|inst5|inst5|inst|inst ( -// Equation(s): -// \inst|inst5|inst5|inst|inst~combout = \inst|inst5|inst|inst5|inst2~2_combout $ (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout $ (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout )) +// Location: LCFF_X16_Y17_N1 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~0_combout ), + .sdata(gnd), + .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [0])); +// Location: LCCOMB_X13_Y17_N0 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~9 ( .dataa(vcc), - .datab(\inst|inst5|inst|inst5|inst2~2_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [0]), + .datac(vcc), + .datad(vcc), .cin(gnd), - .combout(\inst|inst5|inst5|inst|inst~combout ), - .cout()); + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~9_combout ), + .cout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~10 )); // synopsys translate_off -defparam \inst|inst5|inst5|inst|inst .lut_mask = 16'hC33C; -defparam \inst|inst5|inst5|inst|inst .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~9 .lut_mask = 16'h33CC; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~9 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y20_N12 -cycloneii_lcell_comb \inst|inst7|Mux0~3 ( -// Equation(s): -// \inst|inst7|Mux0~3_combout = (\inst|inst5|inst5|inst1|inst3~combout & ((\mode_segment~combout $ (!\inst|inst5|inst5|inst|inst~combout )) # (!\inst|inst5|inst5|inst2|inst3~combout ))) # (!\inst|inst5|inst5|inst1|inst3~combout & ((\mode_segment~combout -// ) # ((\inst|inst5|inst5|inst2|inst3~combout )))) - - .dataa(\mode_segment~combout ), - .datab(\inst|inst5|inst5|inst1|inst3~combout ), - .datac(\inst|inst5|inst5|inst2|inst3~combout ), - .datad(\inst|inst5|inst5|inst|inst~combout ), - .cin(gnd), - .combout(\inst|inst7|Mux0~3_combout ), - .cout()); +// Location: LCCOMB_X13_Y17_N2 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1]~11 ( + .dataa(vcc), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [1]), + .datac(vcc), + .datad(vcc), + .cin(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~10 ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1]~11_combout ), + .cout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1]~12 )); // synopsys translate_off -defparam \inst|inst7|Mux0~3 .lut_mask = 16'hBE7E; -defparam \inst|inst7|Mux0~3 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1]~11 .lut_mask = 16'h3C3F; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1]~11 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X13_Y20_N0 -cycloneii_lcell_comb \inst|inst7|Mux0~2 ( -// Equation(s): -// \inst|inst7|Mux0~2_combout = (\mode_segment~combout & (!\inst|inst5|inst5|inst2|inst3~combout & (\inst|inst5|inst5|inst1|inst3~combout $ (\inst|inst5|inst5|inst|inst~combout )))) # (!\mode_segment~combout & (!\inst|inst5|inst5|inst1|inst3~combout & -// (\inst|inst5|inst5|inst2|inst3~combout & !\inst|inst5|inst5|inst|inst~combout ))) - - .dataa(\mode_segment~combout ), - .datab(\inst|inst5|inst5|inst1|inst3~combout ), - .datac(\inst|inst5|inst5|inst2|inst3~combout ), - .datad(\inst|inst5|inst5|inst|inst~combout ), - .cin(gnd), - .combout(\inst|inst7|Mux0~2_combout ), - .cout()); +// Location: LCCOMB_X13_Y17_N4 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2]~14 ( + .dataa(vcc), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [2]), + .datac(vcc), + .datad(vcc), + .cin(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1]~12 ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2]~14_combout ), + .cout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2]~15 )); // synopsys translate_off -defparam \inst|inst7|Mux0~2 .lut_mask = 16'h0218; -defparam \inst|inst7|Mux0~2 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2]~14 .lut_mask = 16'hC30C; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2]~14 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X36_Y18_N4 -cycloneii_lcell_comb \inst|inst4|inst58|$00000|auto_generated|result_node[3]~1 ( -// Equation(s): -// \inst|inst4|inst58|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst61|dffs [3])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst57|dffs [3]))) - - .dataa(\inst|inst4|inst61|dffs [3]), - .datab(\inst|inst4|inst57|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), +// Location: LCCOMB_X10_Y17_N18 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~2 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~0_combout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [0]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [1]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~1_combout ), .cin(gnd), - .combout(\inst|inst4|inst58|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~2_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst58|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hAACC; -defparam \inst|inst4|inst58|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~2 .lut_mask = 16'h2000; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X36_Y18_N5 -cycloneii_lcell_ff \inst|inst4|inst59|dffs[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst58|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X10_Y17_N19 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_dr_scan_reg ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~2_combout ), + .sdata(gnd), + .aclr(!\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl_outclk ), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst29~combout ), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_dr_scan_proc~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst59|dffs [3])); - -// Location: LCCOMB_X37_Y18_N2 -cycloneii_lcell_comb \inst|inst4|inst56|$00000|auto_generated|result_node[3]~1 ( -// Equation(s): -// \inst|inst4|inst56|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst59|dffs [3]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst54|dffs [3])) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_dr_scan_reg~regout )); - .dataa(\inst|inst4|inst54|dffs [3]), - .datab(\inst|inst4|inst59|dffs [3]), +// Location: LCCOMB_X12_Y17_N2 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|clear_signal ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst108~combout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), .cin(gnd), - .combout(\inst|inst4|inst56|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|clear_signal~combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst56|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst56|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|clear_signal .lut_mask = 16'hAA00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|clear_signal .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X37_Y18_N3 -cycloneii_lcell_ff \inst|inst4|inst57|dffs[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst56|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst28~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst57|dffs [3])); - -// Location: LCCOMB_X36_Y18_N14 -cycloneii_lcell_comb \inst|inst4|inst55|$00000|auto_generated|result_node[3]~1 ( -// Equation(s): -// \inst|inst4|inst55|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst57|dffs [3])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst53|dffs [3]))) - - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst57|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst53|dffs [3]), +// Location: LCCOMB_X12_Y17_N16 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~20 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_dr_scan_reg~regout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|clear_signal~combout ), .cin(gnd), - .combout(\inst|inst4|inst55|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~20_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst55|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst55|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~20 .lut_mask = 16'hFF40; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~20 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X36_Y18_N15 -cycloneii_lcell_ff \inst|inst4|inst54|dffs[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst55|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst27~combout ), +// Location: LCFF_X13_Y17_N5 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2]~14_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~13_combout ), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~20_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst54|dffs [3])); - -// Location: LCCOMB_X36_Y17_N2 -cycloneii_lcell_comb \inst|inst4|inst52|$00000|auto_generated|result_node[3]~1 ( -// Equation(s): -// \inst|inst4|inst52|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst54|dffs [3])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst51|dffs [3]))) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [2])); - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst54|dffs [3]), +// Location: LCCOMB_X13_Y17_N8 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[4]~18 ( + .dataa(vcc), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [4]), .datac(vcc), - .datad(\inst|inst4|inst51|dffs [3]), - .cin(gnd), - .combout(\inst|inst4|inst52|$00000|auto_generated|result_node[3]~1_combout ), + .datad(vcc), + .cin(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3]~17 ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[4]~18_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst52|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst52|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[4]~18 .lut_mask = 16'hC3C3; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[4]~18 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCFF_X36_Y17_N3 -cycloneii_lcell_ff \inst|inst4|inst53|dffs[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst52|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst26~combout ), +// Location: LCFF_X13_Y17_N9 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[4] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[4]~18_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~13_combout ), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~20_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst53|dffs [3])); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [4])); -// Location: LCCOMB_X36_Y17_N0 -cycloneii_lcell_comb \inst|inst4|inst50|$00000|auto_generated|result_node[3]~1 ( -// Equation(s): -// \inst|inst4|inst50|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst53|dffs [3]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst49|dffs [3])) +// Location: LCCOMB_X13_Y17_N12 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~10 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [3]), + .datab(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [4]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [0]), + .cin(gnd), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~10_combout ), + .cout()); +// synopsys translate_off +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~10 .lut_mask = 16'h0050; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~10 .sum_lutc_input = "datac"; +// synopsys translate_on - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst49|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst53|dffs [3]), +// Location: LCCOMB_X13_Y17_N14 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~13 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|clear_signal~combout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [1]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [2]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~10_combout ), .cin(gnd), - .combout(\inst|inst4|inst50|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~13_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst50|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst50|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~13 .lut_mask = 16'hABAA; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~13 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X36_Y17_N1 -cycloneii_lcell_ff \inst|inst4|inst51|dffs[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst50|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst25~combout ), +// Location: LCFF_X13_Y17_N1 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~9_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~13_combout ), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~20_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst51|dffs [3])); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [0])); -// Location: LCCOMB_X34_Y17_N30 -cycloneii_lcell_comb \inst|inst4|inst48|$00000|auto_generated|result_node[3]~1 ( -// Equation(s): -// \inst|inst4|inst48|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst51|dffs [3]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst47|dffs [3])) +// Location: LCFF_X13_Y17_N3 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1]~11_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~13_combout ), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~20_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [1])); - .dataa(\inst|inst4|inst47|dffs [3]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst51|dffs [3]), +// Location: LCCOMB_X14_Y17_N30 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~4 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [1]), .cin(gnd), - .combout(\inst|inst4|inst48|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~4_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst48|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst48|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~4 .lut_mask = 16'h0700; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X34_Y17_N31 -cycloneii_lcell_ff \inst|inst4|inst49|dffs[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst48|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst24~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst49|dffs [3])); - -// Location: LCCOMB_X33_Y17_N10 -cycloneii_lcell_comb \inst|inst4|inst46|$00000|auto_generated|result_node[3]~1 ( -// Equation(s): -// \inst|inst4|inst46|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst49|dffs [3])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst45|dffs [3]))) - - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst49|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst45|dffs [3]), +// Location: LCCOMB_X14_Y17_N8 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~6 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), + .datab(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), .cin(gnd), - .combout(\inst|inst4|inst46|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~6_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst46|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst46|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~6 .lut_mask = 16'h50F0; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~6 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X33_Y17_N11 -cycloneii_lcell_ff \inst|inst4|inst47|dffs[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst46|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst23~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst47|dffs [3])); - -// Location: LCCOMB_X34_Y17_N28 -cycloneii_lcell_comb \inst|inst4|inst44|$00000|auto_generated|result_node[3]~1 ( -// Equation(s): -// \inst|inst4|inst44|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst47|dffs [3]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst42|dffs [3])) - - .dataa(\inst|inst4|inst42|dffs [3]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst47|dffs [3]), +// Location: LCCOMB_X13_Y17_N24 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~11 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [1]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [2]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~10_combout ), .cin(gnd), - .combout(\inst|inst4|inst44|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~11_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst44|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst44|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~11 .lut_mask = 16'h0004; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~11 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X34_Y17_N29 -cycloneii_lcell_ff \inst|inst4|inst45|dffs[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst44|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), - .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst22~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst45|dffs [3])); - -// Location: LCCOMB_X32_Y19_N22 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~44 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~44_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~43_combout & (((\inst|inst4|inst47|dffs [3]) # (!\addr~combout [1])))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~43_combout & (\inst|inst4|inst45|dffs [3] & ((\addr~combout [1])))) - - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~43_combout ), - .datab(\inst|inst4|inst45|dffs [3]), - .datac(\inst|inst4|inst47|dffs [3]), - .datad(\addr~combout [1]), +// Location: LCCOMB_X14_Y17_N24 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~14 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [0]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~44_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~14_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~44 .lut_mask = 16'hE4AA; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~44 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~14 .lut_mask = 16'h0103; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~14 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y19_N8 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~26 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~26_combout = (!\addr~combout [3] & ((\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~44_combout ))) # (!\addr~combout [2] & -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~46_combout )))) - - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~46_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~44_combout ), - .datac(\addr~combout [3]), - .datad(\addr~combout [2]), +// Location: LCCOMB_X13_Y17_N18 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~15 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~13_combout ), + .datab(\altera_internal_jtag~TDIUTAP ), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~6_combout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~14_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~26_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~15_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~26 .lut_mask = 16'h0C0A; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~26 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~15 .lut_mask = 16'hEAC0; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~15 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X35_Y18_N22 -cycloneii_lcell_comb \inst|inst4|inst60|$00000|auto_generated|result_node[3]~1 ( -// Equation(s): -// \inst|inst4|inst60|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst63|dffs [3]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst59|dffs [3])) - - .dataa(\inst|inst4|inst59|dffs [3]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst63|dffs [3]), +// Location: LCCOMB_X12_Y17_N14 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0]~16 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_dr_scan_reg~regout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|clear_signal~combout ), .cin(gnd), - .combout(\inst|inst4|inst60|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0]~16_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst60|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst60|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0]~16 .lut_mask = 16'hFFE0; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0]~16 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X35_Y18_N23 -cycloneii_lcell_ff \inst|inst4|inst61|dffs[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst60|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X13_Y17_N19 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[3] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~15_combout ), + .sdata(gnd), + .aclr(gnd), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst30~combout ), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0]~16_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst61|dffs [3])); - -// Location: LCCOMB_X35_Y18_N28 -cycloneii_lcell_comb \inst|inst4|inst62|$00000|auto_generated|result_node[3]~1 ( -// Equation(s): -// \inst|inst4|inst62|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst65|dffs [3])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst61|dffs [3]))) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR [3])); - .dataa(\inst|inst4|inst65|dffs [3]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst61|dffs [3]), +// Location: LCCOMB_X13_Y17_N10 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~12 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|clear_signal~combout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~11_combout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR [3]), .cin(gnd), - .combout(\inst|inst4|inst62|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~12_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst62|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst62|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~12 .lut_mask = 16'h5450; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~12 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X35_Y18_N29 -cycloneii_lcell_ff \inst|inst4|inst63|dffs[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst62|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\inst|inst4|inst109~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X13_Y17_N11 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[2] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~12_combout ), + .sdata(gnd), + .aclr(gnd), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst31~combout ), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0]~16_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst63|dffs [3])); - -// Location: LCCOMB_X32_Y19_N28 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~28 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~28_combout = (\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst63|dffs [3])) # (!\addr~combout [0] & ((\inst|inst4|inst61|dffs [3]))))) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR [2])); - .dataa(\addr~combout [0]), - .datab(\inst|inst4|inst63|dffs [3]), - .datac(\inst|inst4|inst61|dffs [3]), - .datad(\addr~combout [1]), +// Location: LCCOMB_X13_Y17_N28 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~9 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~8_combout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~4_combout ), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~6_combout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR [2]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~28_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~9_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~28 .lut_mask = 16'hD800; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~28 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~9 .lut_mask = 16'hF888; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~9 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y19_N30 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~29 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~29_combout = (\addr~combout [2] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~27_combout ) # -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~28_combout ))) +// Location: LCFF_X13_Y17_N29 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[1] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~9_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0]~16_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR [1])); - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~27_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~28_combout ), - .datac(vcc), - .datad(\addr~combout [2]), +// Location: LCCOMB_X13_Y17_N26 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~7 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~5_combout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR [1]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~6_combout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~4_combout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~29_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~7_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~29 .lut_mask = 16'hEE00; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~29 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~7 .lut_mask = 16'hEAC0; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~7 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X36_Y17_N4 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~30 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~30_combout = (!\addr~combout [1] & ((\addr~combout [0] & (\inst|inst4|inst51|dffs [3])) # (!\addr~combout [0] & ((\inst|inst4|inst49|dffs [3]))))) +// Location: LCFF_X13_Y17_N27 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~7_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0]~16_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR [0])); - .dataa(\addr~combout [1]), - .datab(\inst|inst4|inst51|dffs [3]), - .datac(\addr~combout [0]), - .datad(\inst|inst4|inst49|dffs [3]), +// Location: LCCOMB_X14_Y17_N18 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~0 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [2]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg [0]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [0]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~30_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~30 .lut_mask = 16'h4540; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~30 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~0 .lut_mask = 16'hADA8; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y19_N24 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~32 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~32_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~29_combout ) # ((!\addr~combout [2] & -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~31_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~30_combout )))) - - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~31_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~29_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~30_combout ), - .datad(\addr~combout [2]), +// Location: LCCOMB_X14_Y17_N20 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg~0 ( + .dataa(vcc), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg~regout ), + .datad(\altera_internal_jtag~TDIUTAP ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~32_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~32 .lut_mask = 16'hCCFE; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~32 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg~0 .lut_mask = 16'hFC30; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y19_N2 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~33 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~33_combout = (\addr~combout [4] & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~26_combout ) # ((\addr~combout [3] & -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~32_combout )))) +// Location: LCFF_X14_Y17_N21 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg~0_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg~regout )); - .dataa(\addr~combout [4]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~26_combout ), - .datac(\addr~combout [3]), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~32_combout ), +// Location: LCCOMB_X16_Y17_N22 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][3]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [3]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~33_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~33 .lut_mask = 16'hA888; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~33 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][3]~feeder .lut_mask = 16'hFF00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X25_Y18_N28 -cycloneii_lcell_comb \inst|inst4|inst102|$00000|auto_generated|result_node[3]~1 ( -// Equation(s): -// \inst|inst4|inst102|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst101|dffs [3] & !\inst|inst4|inst108~combout ) - - .dataa(\inst|inst4|inst101|dffs [3]), - .datab(\inst|inst4|inst108~combout ), +// Location: LCCOMB_X16_Y17_N14 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0 ( + .dataa(vcc), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg_proc~0_combout ), .datac(vcc), - .datad(vcc), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8]), .cin(gnd), - .combout(\inst|inst4|inst102|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst102|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'h2222; -defparam \inst|inst4|inst102|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0 .lut_mask = 16'hCC00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X25_Y18_N29 -cycloneii_lcell_ff \inst|inst4|inst103|dffs[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst102|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X16_Y17_N23 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][3] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][3]~feeder_combout ), + .sdata(gnd), + .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst51~combout ), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst103|dffs [3])); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][3]~regout )); -// Location: LCCOMB_X26_Y18_N22 -cycloneii_lcell_comb \inst|inst4|inst98|$00000|auto_generated|result_node[3]~1 ( -// Equation(s): -// \inst|inst4|inst98|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst101|dffs [3]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst96|dffs [3])) +// Location: LCFF_X16_Y17_N29 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][6] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [6]), + .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][6]~regout )); - .dataa(\inst|inst4|inst96|dffs [3]), - .datab(\inst|inst4|inst101|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), +// Location: LCCOMB_X16_Y17_N20 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~12 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [7]), + .datab(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][6]~regout ), .cin(gnd), - .combout(\inst|inst4|inst98|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~12_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst98|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst98|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~12 .lut_mask = 16'hFA0A; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~12 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X26_Y18_N23 -cycloneii_lcell_ff \inst|inst4|inst99|dffs[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst98|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X16_Y17_N21 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[6] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~12_combout ), + .sdata(gnd), + .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst49~combout ), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst99|dffs [3])); - -// Location: LCCOMB_X26_Y18_N4 -cycloneii_lcell_comb \inst|inst4|inst100|$00000|auto_generated|result_node[3]~1 ( -// Equation(s): -// \inst|inst4|inst100|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst103|dffs [3])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst99|dffs [3]))) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [6])); - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst103|dffs [3]), +// Location: LCCOMB_X16_Y17_N2 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5]~feeder ( + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst99|dffs [3]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [5]), .cin(gnd), - .combout(\inst|inst4|inst100|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst100|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hDD88; -defparam \inst|inst4|inst100|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5]~feeder .lut_mask = 16'hFF00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X26_Y18_N5 -cycloneii_lcell_ff \inst|inst4|inst101|dffs[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst100|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X16_Y17_N3 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5]~feeder_combout ), + .sdata(gnd), + .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst50~combout ), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst101|dffs [3])); - -// Location: LCCOMB_X26_Y18_N30 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~42 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~42_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~41_combout & ((\inst|inst4|inst103|dffs [3]) # ((!\addr~combout [1])))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~41_combout & (((\inst|inst4|inst101|dffs [3] & \addr~combout [1])))) - - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~41_combout ), - .datab(\inst|inst4|inst103|dffs [3]), - .datac(\inst|inst4|inst101|dffs [3]), - .datad(\addr~combout [1]), - .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~42_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~42 .lut_mask = 16'hD8AA; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~42 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X32_Y19_N18 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~153 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~153_combout = ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~42_combout & (!\addr~combout [3] & !\addr~combout [2]))) # (!\addr~combout [4]) - - .dataa(\addr~combout [4]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~42_combout ), - .datac(\addr~combout [3]), - .datad(\addr~combout [2]), - .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~153_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~153 .lut_mask = 16'h555D; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~153 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X32_Y15_N18 -cycloneii_lcell_comb \inst|inst4|inst8|$00000|auto_generated|result_node[3]~1 ( -// Equation(s): -// \inst|inst4|inst8|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & (\inst|inst4|inst11|dffs [3])) # (!\inst|inst4|inst108~combout & ((\inst|inst4|inst7|dffs [3]))) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5]~regout )); - .dataa(\inst|inst4|inst11|dffs [3]), - .datab(\inst|inst4|inst108~combout ), - .datac(vcc), - .datad(\inst|inst4|inst7|dffs [3]), +// Location: LCCOMB_X16_Y17_N26 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~11 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datab(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [6]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5]~regout ), .cin(gnd), - .combout(\inst|inst4|inst8|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~11_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst8|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hBB88; -defparam \inst|inst4|inst8|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~11 .lut_mask = 16'hFA50; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~11 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X32_Y15_N19 -cycloneii_lcell_ff \inst|inst4|inst9|dffs[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst8|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X16_Y17_N27 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[5] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~11_combout ), + .sdata(gnd), + .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst4~combout ), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst9|dffs [3])); - -// Location: LCCOMB_X33_Y15_N18 -cycloneii_lcell_comb \inst|inst4|inst6|$00000|auto_generated|result_node[3]~1 ( -// Equation(s): -// \inst|inst4|inst6|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst9|dffs [3]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst5|dffs [3])) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [5])); - .dataa(\inst|inst4|inst5|dffs [3]), - .datab(\inst|inst4|inst9|dffs [3]), - .datac(vcc), - .datad(\inst|inst4|inst108~combout ), +// Location: LCCOMB_X16_Y17_N24 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~10 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datab(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4]~regout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [5]), .cin(gnd), - .combout(\inst|inst4|inst6|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~10_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst6|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hCCAA; -defparam \inst|inst4|inst6|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~10 .lut_mask = 16'hF5A0; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~10 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X33_Y15_N19 -cycloneii_lcell_ff \inst|inst4|inst7|dffs[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst6|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X16_Y17_N25 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[4] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~10_combout ), + .sdata(gnd), + .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst3~combout ), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst7|dffs [3])); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [4])); -// Location: LCCOMB_X33_Y14_N12 -cycloneii_lcell_comb \inst|inst4|inst4|$00000|auto_generated|result_node[3]~1 ( -// Equation(s): -// \inst|inst4|inst4|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst7|dffs [3]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst2|dffs [3])) +// Location: LCFF_X16_Y17_N17 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [4]), + .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4]~regout )); - .dataa(\inst|inst4|inst108~combout ), - .datab(\inst|inst4|inst2|dffs [3]), +// Location: LCCOMB_X16_Y17_N6 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~13 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8]), .datac(vcc), - .datad(\inst|inst4|inst7|dffs [3]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~regout ), .cin(gnd), - .combout(\inst|inst4|inst4|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~13_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst4|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hEE44; -defparam \inst|inst4|inst4|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~13 .lut_mask = 16'hEE44; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~13 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X33_Y14_N13 -cycloneii_lcell_ff \inst|inst4|inst5|dffs[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst4|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X16_Y17_N7 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[7] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~13_combout ), + .sdata(gnd), + .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst2~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\inst|inst4|inst5|dffs [3])); - -// Location: LCCOMB_X33_Y14_N14 -cycloneii_lcell_comb \inst|inst4|inst3|$00000|auto_generated|result_node[3]~1 ( -// Equation(s): -// \inst|inst4|inst3|$00000|auto_generated|result_node[3]~1_combout = (\inst|inst4|inst108~combout & ((\inst|inst4|inst5|dffs [3]))) # (!\inst|inst4|inst108~combout & (\inst|inst4|inst|dffs [3])) + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [7])); - .dataa(\inst|inst4|inst|dffs [3]), - .datab(\inst|inst4|inst108~combout ), +// Location: LCCOMB_X16_Y17_N30 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~feeder ( + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\inst|inst4|inst5|dffs [3]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [7]), .cin(gnd), - .combout(\inst|inst4|inst3|$00000|auto_generated|result_node[3]~1_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst3|$00000|auto_generated|result_node[3]~1 .lut_mask = 16'hEE22; -defparam \inst|inst4|inst3|$00000|auto_generated|result_node[3]~1 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~feeder .lut_mask = 16'hFF00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X33_Y14_N15 -cycloneii_lcell_ff \inst|inst4|inst2|dffs[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(\inst|inst4|inst3|$00000|auto_generated|result_node[3]~1_combout ), - .sdata(\~GND~combout ), - .aclr(\inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl_outclk ), +// Location: LCFF_X16_Y17_N31 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~feeder_combout ), + .sdata(gnd), + .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), .sclr(gnd), - .sload(\inst|inst4|inst109~combout ), - .ena(\inst|inst4|inst124|inst1~combout ), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\inst|inst4|inst2|dffs [3])); - -// Location: LCCOMB_X33_Y14_N4 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~39 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~39_combout = (\addr~combout [1] & (((\addr~combout [0])))) # (!\addr~combout [1] & ((\addr~combout [0] & ((\inst|inst4|inst2|dffs [3]))) # (!\addr~combout [0] & (\inst|inst4|inst|dffs [3])))) + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~regout )); - .dataa(\inst|inst4|inst|dffs [3]), - .datab(\addr~combout [1]), - .datac(\inst|inst4|inst2|dffs [3]), - .datad(\addr~combout [0]), +// Location: LCCOMB_X26_Y17_N12 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[137]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\altera_internal_jtag~TDIUTAP ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~39_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[137]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~39 .lut_mask = 16'hFC22; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~39 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[137]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[137]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X33_Y14_N6 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~40 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~40_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~39_combout & (((\inst|inst4|inst7|dffs [3]) # (!\addr~combout [1])))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~39_combout & (\inst|inst4|inst5|dffs [3] & (\addr~combout [1]))) - - .dataa(\inst|inst4|inst5|dffs [3]), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~39_combout ), - .datac(\addr~combout [1]), - .datad(\inst|inst4|inst7|dffs [3]), +// Location: LCCOMB_X16_Y17_N8 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [0]), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~40_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~40 .lut_mask = 16'hEC2C; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~40 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~feeder .lut_mask = 16'hFF00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y19_N0 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~18 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~18_combout = (!\addr~combout [3] & ((\addr~combout [2] & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~38_combout )) # (!\addr~combout [2] & -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~40_combout ))))) +// Location: LCFF_X16_Y17_N9 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~feeder_combout ), + .sdata(gnd), + .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~regout )); - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~38_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~40_combout ), - .datac(\addr~combout [3]), - .datad(\addr~combout [2]), +// Location: LCCOMB_X18_Y17_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~0 ( + .dataa(vcc), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~regout ), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~regout ), + .datad(vcc), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~18_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~0_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~18 .lut_mask = 16'h0A0C; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~18 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~0 .lut_mask = 16'hFCFC; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X32_Y19_N26 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~25 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~25_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~18_combout ) # -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~24_combout & \addr~combout [3])))) +// Location: LCFF_X18_Y17_N1 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~0_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~regout )); - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~24_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0_combout ), - .datac(\addr~combout [3]), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~18_combout ), - .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~25_combout ), - .cout()); +// Location: CLKCTRL_G8 +cycloneii_clkctrl \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl ( + .ena(vcc), + .inclk({gnd,gnd,gnd,\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~regout }), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk )); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~25 .lut_mask = 16'hCC80; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~25 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl .clock_type = "global clock"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl .ena_register_mode = "none"; // synopsys translate_on -// Location: LCCOMB_X32_Y19_N4 -cycloneii_lcell_comb \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34 ( -// Equation(s): -// \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout = (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~25_combout ) # ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~59_combout & -// ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~153_combout ))) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~59_combout & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~33_combout ))) - - .dataa(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~59_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~33_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|_~153_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~25_combout ), +// Location: LCCOMB_X18_Y17_N22 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena[1]~reg0_regout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][3]~regout ), .cin(gnd), - .combout(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34 .lut_mask = 16'hFFE4; -defparam \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena .lut_mask = 16'h2000; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y20_N24 -cycloneii_lcell_comb \inst|inst5|inst5|inst3|inst3~0 ( -// Equation(s): -// \inst|inst5|inst5|inst3|inst3~0_combout = (\inst|inst5|inst|inst5|inst2~2_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ))) -// # (!\inst|inst5|inst|inst5|inst2~2_combout & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout )) +// Location: LCFF_X26_Y17_N13 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[137] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[137]~feeder_combout ), + .sdata(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [137])); +// Location: LCCOMB_X26_Y17_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[136]~feeder ( .dataa(vcc), - .datab(\inst|inst5|inst|inst5|inst2~2_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [137]), .cin(gnd), - .combout(\inst|inst5|inst5|inst3|inst3~0_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[136]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst5|inst5|inst3|inst3~0 .lut_mask = 16'hFCC0; -defparam \inst|inst5|inst5|inst3|inst3~0 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[136]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[136]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X15_Y20_N6 -cycloneii_lcell_comb \inst|inst5|inst5|inst3|inst3~3 ( -// Equation(s): -// \inst|inst5|inst5|inst3|inst3~3_combout = (\inst|inst5|inst5|inst3|inst3~2_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & ((!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout ) -// # (!\inst|inst5|inst5|inst3|inst3~0_combout ))) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & ((\inst|inst5|inst5|inst3|inst3~0_combout ) # -// (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout ))))) # (!\inst|inst5|inst5|inst3|inst3~2_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & -// ((\inst|inst5|inst5|inst3|inst3~0_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout ))) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout & -// (\inst|inst5|inst5|inst3|inst3~0_combout & \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout )))) +// Location: LCFF_X26_Y17_N9 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[136] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[136]~feeder_combout ), + .sdata(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [136])); - .dataa(\inst|inst5|inst5|inst3|inst3~2_combout ), - .datab(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), - .datac(\inst|inst5|inst5|inst3|inst3~0_combout ), - .datad(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout ), +// Location: LCFF_X26_Y17_N27 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[135] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [136]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [135])); + +// Location: LCCOMB_X26_Y17_N4 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[134]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [135]), .cin(gnd), - .combout(\inst|inst5|inst5|inst3|inst3~3_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[134]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst5|inst5|inst3|inst3~3 .lut_mask = 16'h7EE8; -defparam \inst|inst5|inst5|inst3|inst3~3 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[134]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[134]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y20_N16 -cycloneii_lcell_comb \inst|inst7|Mux0~4 ( -// Equation(s): -// \inst|inst7|Mux0~4_combout = (\inst|inst7|Mux0~3_combout & (\inst|inst7|Mux0~2_combout & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout $ (\inst|inst5|inst5|inst3|inst3~3_combout )))) # (!\inst|inst7|Mux0~3_combout & -// ((\inst|inst7|Mux0~2_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout $ (!\inst|inst5|inst5|inst3|inst3~3_combout )))) +// Location: LCFF_X26_Y17_N5 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[134] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[134]~feeder_combout ), + .sdata(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [134])); - .dataa(\inst|inst7|Mux0~3_combout ), - .datab(\inst|inst7|Mux0~2_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout ), - .datad(\inst|inst5|inst5|inst3|inst3~3_combout ), - .cin(gnd), - .combout(\inst|inst7|Mux0~4_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst7|Mux0~4 .lut_mask = 16'h5CC5; -defparam \inst|inst7|Mux0~4 .sum_lutc_input = "datac"; -// synopsys translate_on +// Location: LCFF_X26_Y17_N17 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[133] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [134]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [133])); -// Location: LCCOMB_X13_Y20_N18 -cycloneii_lcell_comb \inst|inst5|inst5|inst3|inst3~4 ( -// Equation(s): -// \inst|inst5|inst5|inst3|inst3~4_combout = \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout $ (\inst|inst5|inst5|inst3|inst3~3_combout ) +// Location: LCFF_X26_Y17_N3 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[132] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [133]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [132])); +// Location: LCCOMB_X25_Y18_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[131]~feeder ( .dataa(vcc), .datab(vcc), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout ), - .datad(\inst|inst5|inst5|inst3|inst3~3_combout ), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [132]), .cin(gnd), - .combout(\inst|inst5|inst5|inst3|inst3~4_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[131]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst5|inst5|inst3|inst3~4 .lut_mask = 16'h0FF0; -defparam \inst|inst5|inst5|inst3|inst3~4 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[131]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[131]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y20_N28 -cycloneii_lcell_comb \inst|inst7|Mux1~0 ( -// Equation(s): -// \inst|inst7|Mux1~0_combout = (\inst|inst5|inst5|inst1|inst3~combout & ((\mode_segment~combout & ((!\inst|inst5|inst5|inst|inst~combout ))) # (!\mode_segment~combout & ((\inst|inst5|inst5|inst|inst~combout ) # (!\inst|inst5|inst5|inst2|inst3~combout -// ))))) # (!\inst|inst5|inst5|inst1|inst3~combout & (((!\inst|inst5|inst5|inst2|inst3~combout & \inst|inst5|inst5|inst|inst~combout )))) - - .dataa(\mode_segment~combout ), - .datab(\inst|inst5|inst5|inst1|inst3~combout ), - .datac(\inst|inst5|inst5|inst2|inst3~combout ), - .datad(\inst|inst5|inst5|inst|inst~combout ), - .cin(gnd), - .combout(\inst|inst7|Mux1~0_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst7|Mux1~0 .lut_mask = 16'h478C; -defparam \inst|inst7|Mux1~0 .sum_lutc_input = "datac"; -// synopsys translate_on +// Location: LCFF_X25_Y18_N21 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[131] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[131]~feeder_combout ), + .sdata(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [131])); -// Location: LCCOMB_X13_Y20_N22 -cycloneii_lcell_comb \inst|inst7|Mux1~1 ( -// Equation(s): -// \inst|inst7|Mux1~1_combout = (\inst|inst5|inst5|inst3|inst3~4_combout & ((\inst|inst7|Mux1~0_combout & (\mode_segment~combout & !\inst|inst5|inst5|inst|inst~combout )) # (!\inst|inst7|Mux1~0_combout & ((\inst|inst5|inst5|inst|inst~combout ))))) # -// (!\inst|inst5|inst5|inst3|inst3~4_combout & (((\inst|inst7|Mux1~0_combout )))) +// Location: LCFF_X25_Y18_N1 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[130] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [131]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [130])); - .dataa(\mode_segment~combout ), - .datab(\inst|inst5|inst5|inst3|inst3~4_combout ), - .datac(\inst|inst7|Mux1~0_combout ), - .datad(\inst|inst5|inst5|inst|inst~combout ), +// Location: LCCOMB_X25_Y18_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[129]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [130]), .cin(gnd), - .combout(\inst|inst7|Mux1~1_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[129]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst7|Mux1~1 .lut_mask = 16'h3CB0; -defparam \inst|inst7|Mux1~1 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[129]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[129]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y20_N24 -cycloneii_lcell_comb \inst|inst7|Mux2~3 ( -// Equation(s): -// \inst|inst7|Mux2~3_combout = (\mode_segment~combout & ((\inst|inst5|inst5|inst2|inst3~combout & ((!\inst|inst5|inst5|inst|inst~combout ))) # (!\inst|inst5|inst5|inst2|inst3~combout & (\inst|inst5|inst5|inst1|inst3~combout )))) # -// (!\mode_segment~combout & ((\inst|inst5|inst5|inst|inst~combout ) # ((!\inst|inst5|inst5|inst1|inst3~combout & \inst|inst5|inst5|inst2|inst3~combout )))) +// Location: LCFF_X25_Y18_N19 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[129] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[129]~feeder_combout ), + .sdata(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [129])); - .dataa(\mode_segment~combout ), - .datab(\inst|inst5|inst5|inst1|inst3~combout ), - .datac(\inst|inst5|inst5|inst2|inst3~combout ), - .datad(\inst|inst5|inst5|inst|inst~combout ), +// Location: LCCOMB_X26_Y16_N6 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[128]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [129]), .cin(gnd), - .combout(\inst|inst7|Mux2~3_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[128]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst7|Mux2~3 .lut_mask = 16'h5DB8; -defparam \inst|inst7|Mux2~3 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[128]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[128]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y20_N14 -cycloneii_lcell_comb \inst|inst7|Mux2~2 ( -// Equation(s): -// \inst|inst7|Mux2~2_combout = (\mode_segment~combout & (\inst|inst5|inst5|inst2|inst3~combout $ (((!\inst|inst5|inst5|inst1|inst3~combout & !\inst|inst5|inst5|inst|inst~combout ))))) # (!\mode_segment~combout & (!\inst|inst5|inst5|inst1|inst3~combout -// & (!\inst|inst5|inst5|inst2|inst3~combout & \inst|inst5|inst5|inst|inst~combout ))) +// Location: LCFF_X26_Y16_N7 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[128] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[128]~feeder_combout ), + .sdata(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [128])); - .dataa(\mode_segment~combout ), - .datab(\inst|inst5|inst5|inst1|inst3~combout ), - .datac(\inst|inst5|inst5|inst2|inst3~combout ), - .datad(\inst|inst5|inst5|inst|inst~combout ), +// Location: LCCOMB_X26_Y16_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[127]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [128]), .cin(gnd), - .combout(\inst|inst7|Mux2~2_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[127]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst7|Mux2~2 .lut_mask = 16'hA182; -defparam \inst|inst7|Mux2~2 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[127]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[127]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y20_N2 -cycloneii_lcell_comb \inst|inst7|Mux2~4 ( -// Equation(s): -// \inst|inst7|Mux2~4_combout = (\inst|inst7|Mux2~3_combout & ((\inst|inst7|Mux2~2_combout ) # (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout $ (!\inst|inst5|inst5|inst3|inst3~3_combout )))) # (!\inst|inst7|Mux2~3_combout -// & (\inst|inst7|Mux2~2_combout & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout $ (\inst|inst5|inst5|inst3|inst3~3_combout )))) +// Location: LCFF_X26_Y16_N3 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[127] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[127]~feeder_combout ), + .sdata(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [127])); - .dataa(\inst|inst7|Mux2~3_combout ), - .datab(\inst|inst7|Mux2~2_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout ), - .datad(\inst|inst5|inst5|inst3|inst3~3_combout ), +// Location: LCCOMB_X26_Y16_N4 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[126]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [127]), .cin(gnd), - .combout(\inst|inst7|Mux2~4_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[126]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst7|Mux2~4 .lut_mask = 16'hACCA; -defparam \inst|inst7|Mux2~4 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[126]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[126]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y20_N2 -cycloneii_lcell_comb \inst|inst7|Mux3~1 ( -// Equation(s): -// \inst|inst7|Mux3~1_combout = (\inst|inst5|inst5|inst|inst~combout & ((\mode_segment~combout & (!\inst|inst5|inst5|inst3|inst3~4_combout )) # (!\mode_segment~combout & ((!\inst|inst5|inst5|inst1|inst3~combout ))))) # -// (!\inst|inst5|inst5|inst|inst~combout & (\mode_segment~combout $ (((\inst|inst5|inst5|inst3|inst3~4_combout ) # (\inst|inst5|inst5|inst1|inst3~combout ))))) +// Location: LCFF_X26_Y16_N5 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[126] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[126]~feeder_combout ), + .sdata(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [126])); - .dataa(\mode_segment~combout ), - .datab(\inst|inst5|inst5|inst3|inst3~4_combout ), - .datac(\inst|inst5|inst5|inst1|inst3~combout ), - .datad(\inst|inst5|inst5|inst|inst~combout ), +// Location: LCFF_X26_Y16_N23 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[125] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [126]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [125])); + +// Location: LCCOMB_X26_Y16_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[124]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [125]), .cin(gnd), - .combout(\inst|inst7|Mux3~1_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[124]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst7|Mux3~1 .lut_mask = 16'h2756; -defparam \inst|inst7|Mux3~1 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[124]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[124]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y20_N16 -cycloneii_lcell_comb \inst|inst7|Mux3~0 ( -// Equation(s): -// \inst|inst7|Mux3~0_combout = (\mode_segment~combout & (!\inst|inst5|inst5|inst3|inst3~4_combout & (\inst|inst5|inst5|inst1|inst3~combout $ (!\inst|inst5|inst5|inst|inst~combout )))) # (!\mode_segment~combout & -// ((\inst|inst5|inst5|inst3|inst3~4_combout & (\inst|inst5|inst5|inst1|inst3~combout & !\inst|inst5|inst5|inst|inst~combout )) # (!\inst|inst5|inst5|inst3|inst3~4_combout & (!\inst|inst5|inst5|inst1|inst3~combout & \inst|inst5|inst5|inst|inst~combout -// )))) +// Location: LCFF_X26_Y16_N19 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[124] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[124]~feeder_combout ), + .sdata(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [124])); - .dataa(\mode_segment~combout ), - .datab(\inst|inst5|inst5|inst3|inst3~4_combout ), - .datac(\inst|inst5|inst5|inst1|inst3~combout ), - .datad(\inst|inst5|inst5|inst|inst~combout ), +// Location: LCCOMB_X26_Y16_N28 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[123]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [124]), .cin(gnd), - .combout(\inst|inst7|Mux3~0_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[123]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst7|Mux3~0 .lut_mask = 16'h2142; -defparam \inst|inst7|Mux3~0 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[123]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[123]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y20_N4 -cycloneii_lcell_comb \inst|inst7|Mux3~2 ( -// Equation(s): -// \inst|inst7|Mux3~2_combout = (\inst|inst5|inst5|inst2|inst3~combout & (!\inst|inst7|Mux3~1_combout )) # (!\inst|inst5|inst5|inst2|inst3~combout & ((\inst|inst7|Mux3~0_combout ))) +// Location: LCFF_X26_Y16_N29 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[123] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[123]~feeder_combout ), + .sdata(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [123])); +// Location: LCCOMB_X27_Y16_N14 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[122]~feeder ( .dataa(vcc), - .datab(\inst|inst7|Mux3~1_combout ), - .datac(\inst|inst7|Mux3~0_combout ), - .datad(\inst|inst5|inst5|inst2|inst3~combout ), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [123]), .cin(gnd), - .combout(\inst|inst7|Mux3~2_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[122]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst7|Mux3~2 .lut_mask = 16'h33F0; -defparam \inst|inst7|Mux3~2 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[122]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[122]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y20_N10 -cycloneii_lcell_comb \inst|inst7|Mux4~2 ( -// Equation(s): -// \inst|inst7|Mux4~2_combout = (\mode_segment~combout & (!\inst|inst5|inst5|inst1|inst3~combout & (\inst|inst5|inst5|inst2|inst3~combout $ (\inst|inst5|inst5|inst|inst~combout )))) # (!\mode_segment~combout & ((\inst|inst5|inst5|inst1|inst3~combout & -// (!\inst|inst5|inst5|inst2|inst3~combout & !\inst|inst5|inst5|inst|inst~combout )) # (!\inst|inst5|inst5|inst1|inst3~combout & (\inst|inst5|inst5|inst2|inst3~combout & \inst|inst5|inst5|inst|inst~combout )))) +// Location: LCFF_X27_Y16_N15 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[122] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[122]~feeder_combout ), + .sdata(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [122])); + +// Location: LCFF_X27_Y16_N11 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[121] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [122]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [121])); - .dataa(\mode_segment~combout ), - .datab(\inst|inst5|inst5|inst1|inst3~combout ), - .datac(\inst|inst5|inst5|inst2|inst3~combout ), - .datad(\inst|inst5|inst5|inst|inst~combout ), +// Location: LCCOMB_X27_Y16_N12 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[120]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [121]), .cin(gnd), - .combout(\inst|inst7|Mux4~2_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[120]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst7|Mux4~2 .lut_mask = 16'h1224; -defparam \inst|inst7|Mux4~2 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[120]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[120]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y20_N20 -cycloneii_lcell_comb \inst|inst7|Mux4~3 ( -// Equation(s): -// \inst|inst7|Mux4~3_combout = (\inst|inst7|Mux4~2_combout & (!\inst|inst5|inst5|inst2|inst3~combout & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout $ (!\inst|inst5|inst5|inst3|inst3~3_combout )))) # -// (!\inst|inst7|Mux4~2_combout & (\inst|inst5|inst5|inst2|inst3~combout & (\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout $ (\inst|inst5|inst5|inst3|inst3~3_combout )))) - - .dataa(\inst|inst7|Mux4~2_combout ), - .datab(\inst|inst5|inst5|inst2|inst3~combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout ), - .datad(\inst|inst5|inst5|inst3|inst3~3_combout ), - .cin(gnd), - .combout(\inst|inst7|Mux4~3_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst7|Mux4~3 .lut_mask = 16'h2442; -defparam \inst|inst7|Mux4~3 .sum_lutc_input = "datac"; -// synopsys translate_on +// Location: LCFF_X27_Y16_N13 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[120] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[120]~feeder_combout ), + .sdata(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [120])); -// Location: LCCOMB_X13_Y20_N8 -cycloneii_lcell_comb \inst|inst7|Mux5~0 ( -// Equation(s): -// \inst|inst7|Mux5~0_combout = (\mode_segment~combout & ((\inst|inst5|inst5|inst3|inst3~4_combout & ((\inst|inst5|inst5|inst|inst~combout ))) # (!\inst|inst5|inst5|inst3|inst3~4_combout & (!\inst|inst5|inst5|inst1|inst3~combout )))) # -// (!\mode_segment~combout & (\inst|inst5|inst5|inst3|inst3~4_combout $ (\inst|inst5|inst5|inst1|inst3~combout $ (\inst|inst5|inst5|inst|inst~combout )))) +// Location: LCFF_X33_Y16_N23 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[119] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [120]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [119])); - .dataa(\mode_segment~combout ), - .datab(\inst|inst5|inst5|inst3|inst3~4_combout ), - .datac(\inst|inst5|inst5|inst1|inst3~combout ), - .datad(\inst|inst5|inst5|inst|inst~combout ), +// Location: LCCOMB_X33_Y16_N28 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[118]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [119]), .cin(gnd), - .combout(\inst|inst7|Mux5~0_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[118]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst7|Mux5~0 .lut_mask = 16'hCB16; -defparam \inst|inst7|Mux5~0 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[118]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[118]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y20_N26 -cycloneii_lcell_comb \inst|inst7|Mux5~1 ( -// Equation(s): -// \inst|inst7|Mux5~1_combout = (\inst|inst7|Mux5~0_combout & ((\inst|inst5|inst5|inst2|inst3~combout ) # ((\inst|inst5|inst5|inst1|inst3~combout & \inst|inst5|inst5|inst3|inst3~4_combout )))) # (!\inst|inst7|Mux5~0_combout & -// (\inst|inst5|inst5|inst1|inst3~combout & (\inst|inst5|inst5|inst2|inst3~combout & \inst|inst5|inst5|inst3|inst3~4_combout ))) +// Location: LCFF_X33_Y16_N29 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[118] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[118]~feeder_combout ), + .sdata(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [118])); - .dataa(\inst|inst7|Mux5~0_combout ), - .datab(\inst|inst5|inst5|inst1|inst3~combout ), - .datac(\inst|inst5|inst5|inst2|inst3~combout ), - .datad(\inst|inst5|inst5|inst3|inst3~4_combout ), +// Location: LCCOMB_X33_Y16_N6 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[117]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [118]), .cin(gnd), - .combout(\inst|inst7|Mux5~1_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[117]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst7|Mux5~1 .lut_mask = 16'hE8A0; -defparam \inst|inst7|Mux5~1 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[117]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[117]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y20_N4 -cycloneii_lcell_comb \inst|inst7|Mux6~2 ( -// Equation(s): -// \inst|inst7|Mux6~2_combout = (\mode_segment~combout & ((\inst|inst5|inst5|inst1|inst3~combout ) # ((\inst|inst5|inst5|inst2|inst3~combout )))) # (!\mode_segment~combout & (\inst|inst5|inst5|inst|inst~combout & (\inst|inst5|inst5|inst1|inst3~combout $ -// (\inst|inst5|inst5|inst2|inst3~combout )))) +// Location: LCFF_X33_Y16_N7 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[117] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[117]~feeder_combout ), + .sdata(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [117])); - .dataa(\mode_segment~combout ), - .datab(\inst|inst5|inst5|inst1|inst3~combout ), - .datac(\inst|inst5|inst5|inst2|inst3~combout ), - .datad(\inst|inst5|inst5|inst|inst~combout ), +// Location: LCCOMB_X33_Y16_N26 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[116]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [117]), .cin(gnd), - .combout(\inst|inst7|Mux6~2_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[116]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst7|Mux6~2 .lut_mask = 16'hBCA8; -defparam \inst|inst7|Mux6~2 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[116]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[116]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y20_N30 -cycloneii_lcell_comb \inst|inst7|Mux6~3 ( -// Equation(s): -// \inst|inst7|Mux6~3_combout = (\mode_segment~combout & (\inst|inst5|inst5|inst1|inst3~combout & (!\inst|inst5|inst5|inst2|inst3~combout & \inst|inst5|inst5|inst|inst~combout ))) # (!\mode_segment~combout & (!\inst|inst5|inst5|inst1|inst3~combout & -// (\inst|inst5|inst5|inst2|inst3~combout $ (\inst|inst5|inst5|inst|inst~combout )))) +// Location: LCFF_X33_Y16_N27 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[116] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[116]~feeder_combout ), + .sdata(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [116])); - .dataa(\mode_segment~combout ), - .datab(\inst|inst5|inst5|inst1|inst3~combout ), - .datac(\inst|inst5|inst5|inst2|inst3~combout ), - .datad(\inst|inst5|inst5|inst|inst~combout ), +// Location: LCCOMB_X33_Y16_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[115]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [116]), .cin(gnd), - .combout(\inst|inst7|Mux6~3_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[115]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst7|Mux6~3 .lut_mask = 16'h0910; -defparam \inst|inst7|Mux6~3 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[115]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[115]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y20_N6 -cycloneii_lcell_comb \inst|inst7|Mux6~4 ( -// Equation(s): -// \inst|inst7|Mux6~4_combout = (\inst|inst5|inst5|inst3|inst3~3_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout & ((\inst|inst7|Mux6~3_combout ))) # -// (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout & (\inst|inst7|Mux6~2_combout )))) # (!\inst|inst5|inst5|inst3|inst3~3_combout & ((\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout & -// (\inst|inst7|Mux6~2_combout )) # (!\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout & ((\inst|inst7|Mux6~3_combout ))))) +// Location: LCFF_X33_Y16_N31 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[115] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[115]~feeder_combout ), + .sdata(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [115])); - .dataa(\inst|inst5|inst5|inst3|inst3~3_combout ), - .datab(\inst|inst7|Mux6~2_combout ), - .datac(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout ), - .datad(\inst|inst7|Mux6~3_combout ), +// Location: LCCOMB_X33_Y16_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[114]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [115]), .cin(gnd), - .combout(\inst|inst7|Mux6~4_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[114]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst7|Mux6~4 .lut_mask = 16'hED48; -defparam \inst|inst7|Mux6~4 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[114]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[114]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X23_Y17_N0 -cycloneii_lcell_comb \inst|inst8|Mux1~0 ( -// Equation(s): -// \inst|inst8|Mux1~0_combout = (\mode~combout [0]) # (\mode~combout [1]) +// Location: LCFF_X33_Y16_N19 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[114] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[114]~feeder_combout ), + .sdata(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [114])); +// Location: LCCOMB_X33_Y16_N24 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[113]~feeder ( .dataa(vcc), - .datab(\mode~combout [0]), - .datac(\mode~combout [1]), - .datad(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [114]), .cin(gnd), - .combout(\inst|inst8|Mux1~0_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[113]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst8|Mux1~0 .lut_mask = 16'hFCFC; -defparam \inst|inst8|Mux1~0 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[113]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[113]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X23_Y17_N26 -cycloneii_lcell_comb \inst|inst4|inst107~0 ( -// Equation(s): -// \inst|inst4|inst107~0_combout = (\mode~combout [0] & !\mode~combout [1]) +// Location: LCFF_X33_Y16_N25 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[113] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[113]~feeder_combout ), + .sdata(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [113])); - .dataa(vcc), - .datab(\mode~combout [0]), - .datac(\mode~combout [1]), - .datad(vcc), - .cin(gnd), - .combout(\inst|inst4|inst107~0_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst4|inst107~0 .lut_mask = 16'h0C0C; -defparam \inst|inst4|inst107~0 .sum_lutc_input = "datac"; -// synopsys translate_on +// Location: LCFF_X33_Y16_N3 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[112] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [113]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [112])); -// Location: LCCOMB_X23_Y17_N20 -cycloneii_lcell_comb \inst|inst4|inst108~0 ( -// Equation(s): -// \inst|inst4|inst108~0_combout = (!\mode~combout [0] & \mode~combout [1]) +// Location: LCFF_X33_Y16_N13 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[111] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [112]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [111])); +// Location: LCCOMB_X33_Y17_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[110]~feeder ( .dataa(vcc), - .datab(\mode~combout [0]), - .datac(\mode~combout [1]), - .datad(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [111]), .cin(gnd), - .combout(\inst|inst4|inst108~0_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[110]~feeder_combout ), .cout()); // synopsys translate_off -defparam \inst|inst4|inst108~0 .lut_mask = 16'h3030; -defparam \inst|inst4|inst108~0 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[110]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[110]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: PIN_K6, I/O Standard: 3.3-V LVTTL, Current Strength: Default -cycloneii_io \altera_reserved_tms~I ( - .datain(gnd), - .oe(gnd), - .outclk(gnd), - .outclkena(vcc), - .inclk(gnd), - .inclkena(vcc), - .areset(gnd), - .sreset(gnd), - .differentialin(gnd), - .linkin(gnd), +// Location: LCFF_X33_Y17_N9 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[110] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[110]~feeder_combout ), + .sdata(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .devoe(devoe), - .combout(\altera_reserved_tms~combout ), - .regout(), - .differentialout(), - .linkout(), - .padio(altera_reserved_tms)); -// synopsys translate_off -defparam \altera_reserved_tms~I .input_async_reset = "none"; -defparam \altera_reserved_tms~I .input_power_up = "low"; -defparam \altera_reserved_tms~I .input_register_mode = "none"; -defparam \altera_reserved_tms~I .input_sync_reset = "none"; -defparam \altera_reserved_tms~I .oe_async_reset = "none"; -defparam \altera_reserved_tms~I .oe_power_up = "low"; -defparam \altera_reserved_tms~I .oe_register_mode = "none"; -defparam \altera_reserved_tms~I .oe_sync_reset = "none"; -defparam \altera_reserved_tms~I .operation_mode = "input"; -defparam \altera_reserved_tms~I .output_async_reset = "none"; -defparam \altera_reserved_tms~I .output_power_up = "low"; -defparam \altera_reserved_tms~I .output_register_mode = "none"; -defparam \altera_reserved_tms~I .output_sync_reset = "none"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [110])); -// Location: PIN_K2, I/O Standard: 3.3-V LVTTL, Current Strength: Default -cycloneii_io \altera_reserved_tck~I ( +// Location: LCFF_X33_Y17_N7 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[109] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(gnd), - .oe(gnd), - .outclk(gnd), - .outclkena(vcc), - .inclk(gnd), - .inclkena(vcc), - .areset(gnd), - .sreset(gnd), - .differentialin(gnd), - .linkin(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [110]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .devoe(devoe), - .combout(\altera_reserved_tck~combout ), - .regout(), - .differentialout(), - .linkout(), - .padio(altera_reserved_tck)); -// synopsys translate_off -defparam \altera_reserved_tck~I .input_async_reset = "none"; -defparam \altera_reserved_tck~I .input_power_up = "low"; -defparam \altera_reserved_tck~I .input_register_mode = "none"; -defparam \altera_reserved_tck~I .input_sync_reset = "none"; -defparam \altera_reserved_tck~I .oe_async_reset = "none"; -defparam \altera_reserved_tck~I .oe_power_up = "low"; -defparam \altera_reserved_tck~I .oe_register_mode = "none"; -defparam \altera_reserved_tck~I .oe_sync_reset = "none"; -defparam \altera_reserved_tck~I .operation_mode = "input"; -defparam \altera_reserved_tck~I .output_async_reset = "none"; -defparam \altera_reserved_tck~I .output_power_up = "low"; -defparam \altera_reserved_tck~I .output_register_mode = "none"; -defparam \altera_reserved_tck~I .output_sync_reset = "none"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [109])); -// Location: PIN_K5, I/O Standard: 3.3-V LVTTL, Current Strength: Default -cycloneii_io \altera_reserved_tdi~I ( +// Location: LCFF_X33_Y17_N29 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[108] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(gnd), - .oe(gnd), - .outclk(gnd), - .outclkena(vcc), - .inclk(gnd), - .inclkena(vcc), - .areset(gnd), - .sreset(gnd), - .differentialin(gnd), - .linkin(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [109]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .devoe(devoe), - .combout(\altera_reserved_tdi~combout ), - .regout(), - .differentialout(), - .linkout(), - .padio(altera_reserved_tdi)); -// synopsys translate_off -defparam \altera_reserved_tdi~I .input_async_reset = "none"; -defparam \altera_reserved_tdi~I .input_power_up = "low"; -defparam \altera_reserved_tdi~I .input_register_mode = "none"; -defparam \altera_reserved_tdi~I .input_sync_reset = "none"; -defparam \altera_reserved_tdi~I .oe_async_reset = "none"; -defparam \altera_reserved_tdi~I .oe_power_up = "low"; -defparam \altera_reserved_tdi~I .oe_register_mode = "none"; -defparam \altera_reserved_tdi~I .oe_sync_reset = "none"; -defparam \altera_reserved_tdi~I .operation_mode = "input"; -defparam \altera_reserved_tdi~I .output_async_reset = "none"; -defparam \altera_reserved_tdi~I .output_power_up = "low"; -defparam \altera_reserved_tdi~I .output_register_mode = "none"; -defparam \altera_reserved_tdi~I .output_sync_reset = "none"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [108])); -// Location: LCCOMB_X12_Y15_N12 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~1 ( +// Location: LCCOMB_X33_Y17_N4 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[107]~feeder ( .dataa(vcc), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [2]), - .datac(\altera_internal_jtag~TMSUTAP ), - .datad(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [108]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~1_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[107]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~1 .lut_mask = 16'hC0C0; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~1 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[107]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[107]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X12_Y15_N13 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[9] ( +// Location: LCFF_X33_Y17_N5 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[107] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~1_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[107]~feeder_combout ), .sdata(gnd), - .aclr(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [9])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [107])); -// Location: LCCOMB_X12_Y15_N30 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~8 ( +// Location: LCFF_X33_Y17_N31 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[106] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [107]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [106])); + +// Location: LCCOMB_X33_Y17_N26 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[105]~feeder ( .dataa(vcc), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [9]), - .datac(\altera_internal_jtag~TMSUTAP ), - .datad(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [106]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~8_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[105]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~8 .lut_mask = 16'h0C0C; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~8 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[105]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[105]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X12_Y15_N31 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[10] ( +// Location: LCFF_X33_Y17_N27 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[105] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~8_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[105]~feeder_combout ), .sdata(gnd), - .aclr(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [10])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [105])); -// Location: LCCOMB_X12_Y15_N18 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~9 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [14]), +// Location: LCCOMB_X33_Y17_N14 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[104]~feeder ( + .dataa(vcc), .datab(vcc), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [11]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [10]), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [105]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~9_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[104]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~9 .lut_mask = 16'hFFFA; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~9 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[104]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[104]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X12_Y15_N19 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[11] ( +// Location: LCFF_X33_Y17_N15 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[104] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~9_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[104]~feeder_combout ), .sdata(gnd), - .aclr(gnd), - .sclr(\altera_internal_jtag~TMSUTAP ), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [11])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [104])); -// Location: LCCOMB_X12_Y15_N0 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~10 ( +// Location: LCFF_X33_Y17_N1 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[103] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [104]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [103])); + +// Location: LCCOMB_X25_Y18_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[102]~feeder ( .dataa(vcc), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [10]), - .datac(\altera_internal_jtag~TMSUTAP ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [11]), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [103]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~10_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[102]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~10 .lut_mask = 16'hF0C0; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~10 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[102]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[102]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X12_Y15_N1 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[12] ( +// Location: LCFF_X25_Y18_N17 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[102] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~10_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[102]~feeder_combout ), .sdata(gnd), - .aclr(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [12])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [102])); -// Location: LCCOMB_X12_Y15_N4 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_dr_scan_proc~0 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [14]), - .datab(\altera_internal_jtag~TMSUTAP ), +// Location: LCFF_X29_Y17_N9 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[101] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [102]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [101])); + +// Location: LCFF_X29_Y17_N1 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[100] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [101]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [100])); + +// Location: LCCOMB_X29_Y17_N12 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[99]~feeder ( + .dataa(vcc), + .datab(vcc), .datac(vcc), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [12]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [100]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_dr_scan_proc~0_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[99]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_dr_scan_proc~0 .lut_mask = 16'hCC88; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_dr_scan_proc~0 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[99]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[99]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X12_Y15_N5 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[15] ( +// Location: LCFF_X29_Y17_N13 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[99] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_dr_scan_proc~0_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[99]~feeder_combout ), .sdata(gnd), - .aclr(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [15])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [99])); -// Location: LCCOMB_X12_Y14_N10 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_proc~0 ( +// Location: LCCOMB_X29_Y17_N4 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[98]~feeder ( .dataa(vcc), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [7]), - .datac(\altera_internal_jtag~TMSUTAP ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [5]), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [99]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_proc~0_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[98]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_proc~0 .lut_mask = 16'hF0C0; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_proc~0 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[98]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[98]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X12_Y14_N11 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[8] ( +// Location: LCFF_X29_Y17_N5 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[98] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_proc~0_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[98]~feeder_combout ), .sdata(gnd), - .aclr(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [98])); -// Location: LCCOMB_X12_Y15_N16 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~1 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [0]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [15]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [1]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~1 .lut_mask = 16'hFFFD; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~1 .sum_lutc_input = "datac"; -// synopsys translate_on +// Location: LCFF_X29_Y17_N7 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[97] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [98]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [97])); -// Location: LCFF_X12_Y15_N17 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[1] ( +// Location: LCFF_X29_Y17_N17 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[96] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~1_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(\altera_internal_jtag~TMSUTAP ), - .sload(gnd), - .ena(vcc), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [97]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [1])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [96])); -// Location: LCCOMB_X12_Y15_N8 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~2 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [15]), - .datac(\altera_internal_jtag~TMSUTAP ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [1]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~2_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~2 .lut_mask = 16'hF0E0; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~2 .sum_lutc_input = "datac"; -// synopsys translate_on +// Location: LCFF_X29_Y17_N15 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[95] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [96]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [95])); -// Location: LCFF_X12_Y15_N9 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[2] ( +// Location: LCFF_X29_Y17_N23 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[94] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~2_combout ), - .sdata(gnd), - .aclr(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [95]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [2])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [94])); -// Location: LCCOMB_X12_Y15_N26 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~3 ( +// Location: LCCOMB_X27_Y17_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[93]~feeder ( .dataa(vcc), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [2]), - .datac(\altera_internal_jtag~TMSUTAP ), - .datad(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [94]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~3_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[93]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~3 .lut_mask = 16'h0C0C; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~3 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[93]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[93]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X12_Y15_N27 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[3] ( +// Location: LCFF_X27_Y17_N19 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[93] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~3_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[93]~feeder_combout ), .sdata(gnd), - .aclr(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [93])); -// Location: LCCOMB_X12_Y14_N30 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~5 ( +// Location: LCCOMB_X27_Y17_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[92]~feeder ( .dataa(vcc), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datac(\altera_internal_jtag~TMSUTAP ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [93]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~5_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[92]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~5 .lut_mask = 16'hF0C0; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~5 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[92]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[92]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X12_Y14_N31 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[5] ( +// Location: LCFF_X27_Y17_N9 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[92] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~5_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[92]~feeder_combout ), .sdata(gnd), - .aclr(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [5])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [92])); -// Location: LCCOMB_X12_Y14_N26 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~6 ( +// Location: LCFF_X27_Y17_N7 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[91] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [92]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [91])); + +// Location: LCCOMB_X27_Y17_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[90]~feeder ( .dataa(vcc), .datab(vcc), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [6]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [5]), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [91]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~6_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[90]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~6 .lut_mask = 16'hFFF0; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~6 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[90]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[90]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X12_Y14_N27 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[6] ( +// Location: LCFF_X27_Y17_N3 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[90] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~6_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[90]~feeder_combout ), .sdata(gnd), - .aclr(gnd), - .sclr(\altera_internal_jtag~TMSUTAP ), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [6])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [90])); -// Location: LCCOMB_X12_Y14_N8 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~7 ( +// Location: LCCOMB_X27_Y17_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[89]~feeder ( .dataa(vcc), .datab(vcc), - .datac(\altera_internal_jtag~TMSUTAP ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [6]), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [90]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~7_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[89]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~7 .lut_mask = 16'hF000; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~7 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[89]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[89]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X12_Y14_N9 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[7] ( +// Location: LCFF_X27_Y17_N21 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[89] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~7_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[89]~feeder_combout ), .sdata(gnd), - .aclr(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [7])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [89])); -// Location: LCCOMB_X12_Y14_N0 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~4 ( - .dataa(vcc), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [7]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~4_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~4 .lut_mask = 16'hFFFC; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~4 .sum_lutc_input = "datac"; -// synopsys translate_on +// Location: LCFF_X27_Y17_N23 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[88] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [89]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [88])); -// Location: LCFF_X12_Y14_N1 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[4] ( +// Location: LCFF_X27_Y17_N29 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[87] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~4_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(\altera_internal_jtag~TMSUTAP ), - .sload(gnd), - .ena(vcc), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [88]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [87])); -// Location: LCCOMB_X12_Y15_N6 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg~0 ( +// Location: LCCOMB_X27_Y17_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[86]~feeder ( .dataa(vcc), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg~regout ), - .datad(\altera_internal_jtag~TDIUTAP ), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [87]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg~0_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[86]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg~0 .lut_mask = 16'hFC30; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg~0 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[86]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[86]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X12_Y15_N7 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg ( +// Location: LCFF_X27_Y17_N17 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[86] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg~0_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[86]~feeder_combout ), .sdata(gnd), - .aclr(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg~regout )); - -// Location: LCCOMB_X14_Y14_N0 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~4 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datab(\altera_internal_jtag~TDIUTAP ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8]), - .datad(vcc), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~4_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~4 .lut_mask = 16'hD8D8; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~4 .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [86])); -// Location: LCCOMB_X11_Y15_N6 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~1 ( - .dataa(vcc), - .datab(\altera_internal_jtag~TMSUTAP ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt [0]), - .datad(vcc), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~1 .lut_mask = 16'h0C0C; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~1 .sum_lutc_input = "datac"; -// synopsys translate_on +// Location: LCFF_X27_Y16_N27 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[85] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [86]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [85])); -// Location: LCFF_X11_Y15_N7 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt[0] ( +// Location: LCFF_X27_Y16_N21 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[84] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~1_combout ), - .sdata(gnd), - .aclr(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [85]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt [0])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [84])); -// Location: LCCOMB_X11_Y15_N2 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~2 ( +// Location: LCCOMB_X29_Y16_N14 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[83]~feeder ( .dataa(vcc), .datab(vcc), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt [1]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt [0]), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [84]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~2_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[83]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~2 .lut_mask = 16'h0FF0; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~2 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[83]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[83]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X11_Y15_N3 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt[1] ( +// Location: LCFF_X29_Y16_N15 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[83] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~2_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[83]~feeder_combout ), .sdata(gnd), - .aclr(gnd), - .sclr(!\altera_internal_jtag~TMSUTAP ), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt [1])); - -// Location: LCCOMB_X11_Y15_N0 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~0 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt [0]), - .datab(vcc), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt [2]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt [1]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~0 .lut_mask = 16'h5AF0; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~0 .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [83])); -// Location: LCFF_X11_Y15_N1 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt[2] ( +// Location: LCFF_X29_Y16_N13 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[82] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~0_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(!\altera_internal_jtag~TMSUTAP ), - .sload(gnd), - .ena(vcc), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [83]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt [2])); - -// Location: LCCOMB_X11_Y15_N20 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~0 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [9]), - .datab(\altera_internal_jtag~TMSUTAP ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [0]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt [2]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~0 .lut_mask = 16'h3373; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~0 .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [82])); -// Location: LCFF_X11_Y15_N21 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0] ( +// Location: LCFF_X29_Y16_N5 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[81] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~0_combout ), - .sdata(gnd), - .aclr(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [82]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [0])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [81])); -// Location: CLKCTRL_G3 -cycloneii_clkctrl \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl ( - .ena(vcc), - .inclk({gnd,gnd,gnd,\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [0]}), - .clkselect(2'b00), +// Location: LCFF_X29_Y16_N9 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[80] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [81]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .outclk(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl_outclk )); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl .clock_type = "global clock"; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl .ena_register_mode = "none"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [80])); -// Location: LCFF_X13_Y15_N29 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[9] ( +// Location: LCFF_X29_Y16_N17 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[79] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(gnd), - .sdata(\altera_internal_jtag~TDIUTAP ), - .aclr(!\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl_outclk ), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [80]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(vcc), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [11]), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [9])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [79])); -// Location: LCFF_X13_Y15_N31 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[8] ( +// Location: LCFF_X29_Y16_N7 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[78] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(gnd), - .sdata(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [9]), - .aclr(!\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl_outclk ), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [79]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(vcc), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [11]), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [8])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [78])); -// Location: LCCOMB_X13_Y15_N8 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[7]~feeder ( +// Location: LCCOMB_X29_Y16_N24 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[77]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [8]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [78]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[7]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[77]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[7]~feeder .lut_mask = 16'hFF00; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[7]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[77]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[77]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X13_Y15_N9 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[7] ( +// Location: LCFF_X29_Y16_N25 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[77] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[7]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[77]~feeder_combout ), .sdata(gnd), - .aclr(!\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl_outclk ), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [11]), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [7])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [77])); -// Location: LCCOMB_X13_Y15_N28 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~0 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [6]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [7]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [9]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [8]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~0 .lut_mask = 16'h0001; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~0 .sum_lutc_input = "datac"; -// synopsys translate_on +// Location: LCFF_X29_Y16_N27 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[76] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [77]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [76])); -// Location: LCFF_X13_Y15_N11 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[6] ( +// Location: LCFF_X29_Y16_N3 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[75] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(gnd), - .sdata(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [7]), - .aclr(!\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl_outclk ), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [76]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [75])); + +// Location: LCFF_X25_Y16_N15 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[74] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [75]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [74])); + +// Location: LCFF_X25_Y16_N31 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[73] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [74]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(vcc), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [11]), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [6])); - -// Location: LCCOMB_X13_Y15_N6 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[5]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [6]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[5]~feeder_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[5]~feeder .lut_mask = 16'hFF00; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[5]~feeder .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [73])); -// Location: LCFF_X13_Y15_N7 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[5] ( +// Location: LCFF_X25_Y16_N27 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[72] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[5]~feeder_combout ), - .sdata(gnd), - .aclr(!\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [73]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [11]), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [5])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [72])); -// Location: LCCOMB_X13_Y15_N24 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[4]~feeder ( +// Location: LCCOMB_X25_Y16_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[71]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [5]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [72]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[4]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[71]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[4]~feeder .lut_mask = 16'hFF00; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[4]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[71]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[71]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X13_Y15_N25 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[4] ( +// Location: LCFF_X25_Y16_N17 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[71] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[4]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[71]~feeder_combout ), .sdata(gnd), - .aclr(!\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl_outclk ), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [11]), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [4])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [71])); -// Location: LCFF_X13_Y15_N21 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[3] ( +// Location: LCFF_X25_Y16_N13 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[70] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(gnd), - .sdata(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [4]), - .aclr(!\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl_outclk ), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [71]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(vcc), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [11]), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [3])); - -// Location: LCCOMB_X13_Y15_N26 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[2]~0 ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [3]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[2]~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[2]~0 .lut_mask = 16'h00FF; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[2]~0 .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [70])); -// Location: LCFF_X13_Y15_N27 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[2] ( +// Location: LCFF_X25_Y16_N19 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[69] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[2]~0_combout ), - .sdata(gnd), - .aclr(!\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [70]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [11]), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [2])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [69])); -// Location: LCCOMB_X13_Y15_N12 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[1]~feeder ( +// Location: LCCOMB_X25_Y16_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[68]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [2]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [69]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[1]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[68]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[1]~feeder .lut_mask = 16'hFF00; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[1]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[68]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[68]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X13_Y15_N13 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[1] ( +// Location: LCFF_X25_Y16_N11 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[68] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[1]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[68]~feeder_combout ), .sdata(gnd), - .aclr(!\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl_outclk ), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [11]), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [1])); - -// Location: LCCOMB_X13_Y15_N22 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[0]~1 ( - .dataa(vcc), - .datab(vcc), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [1]), - .datad(vcc), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[0]~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[0]~1 .lut_mask = 16'h0F0F; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[0]~1 .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [68])); -// Location: LCFF_X13_Y15_N23 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[0] ( +// Location: LCFF_X25_Y16_N5 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[67] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[0]~1_combout ), - .sdata(gnd), - .aclr(!\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [68]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [11]), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [0])); - -// Location: LCCOMB_X13_Y15_N16 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal1~0 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~1_combout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~0_combout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [1]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [0]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal1~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal1~0 .lut_mask = 16'h0008; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal1~0 .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [67])); -// Location: LCFF_X13_Y15_N17 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg ( +// Location: LCFF_X26_Y16_N27 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[66] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal1~0_combout ), - .sdata(gnd), - .aclr(!\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [67]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_dr_scan_proc~0_combout ), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout )); - -// Location: LCCOMB_X12_Y14_N12 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg_proc~0 ( - .dataa(\altera_internal_jtag~TMSUTAP ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [7]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [5]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg_proc~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg_proc~0 .lut_mask = 16'hA080; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg_proc~0 .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [66])); -// Location: LCFF_X12_Y14_N13 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg ( +// Location: LCFF_X26_Y16_N21 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[65] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg_proc~0_combout ), - .sdata(gnd), - .aclr(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [66]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg~regout )); - -// Location: LCCOMB_X14_Y14_N22 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0 ( - .dataa(vcc), - .datab(vcc), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg_proc~0_combout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0 .lut_mask = 16'hF000; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0 .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [65])); -// Location: LCFF_X16_Y14_N11 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][1] ( +// Location: LCFF_X25_Y17_N29 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[64] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(gnd), - .sdata(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [1]), - .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [65]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(vcc), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0_combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][1]~regout )); - -// Location: LCCOMB_X15_Y14_N20 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~5 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [2]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][1]~regout ), - .datad(vcc), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~5_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~5 .lut_mask = 16'hE4E4; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~5 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X14_Y14_N16 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[2]~1 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg [0]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [3]), - .datad(vcc), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[2]~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[2]~1 .lut_mask = 16'hE4E4; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[2]~1 .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [64])); -// Location: LCCOMB_X15_Y14_N4 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[2]~1_combout ), +// Location: LCCOMB_X25_Y17_N24 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[63]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [64]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[63]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2 .lut_mask = 16'hC840; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[63]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[63]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X15_Y14_N21 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[1] ( +// Location: LCFF_X25_Y17_N25 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[63] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~5_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[63]~feeder_combout ), .sdata(gnd), - .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2_combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [1])); - -// Location: LCCOMB_X15_Y14_N26 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal3~0 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [0]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [2]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [1]), - .datad(vcc), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal3~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal3~0 .lut_mask = 16'h2020; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal3~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X15_Y14_N2 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[0]~3 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal3~0_combout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg [0]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg_proc~0_combout ), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[0]~3_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[0]~3 .lut_mask = 16'h44F0; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[0]~3 .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [63])); -// Location: LCFF_X15_Y14_N3 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[0] ( +// Location: LCFF_X25_Y17_N15 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[62] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[0]~3_combout ), - .sdata(gnd), - .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [63]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg [0])); - -// Location: LCCOMB_X14_Y14_N14 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~7 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .datab(vcc), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg [0]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~7_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~7 .lut_mask = 16'hFA50; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~7 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X14_Y14_N24 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~8 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~7_combout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [3]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~8_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~8 .lut_mask = 16'hEECE; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~8 .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [62])); -// Location: LCCOMB_X15_Y14_N30 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~9 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~6_combout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [3]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~8_combout ), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~9_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~9 .lut_mask = 16'hB8F0; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~9 .sum_lutc_input = "datac"; -// synopsys translate_on +// Location: LCFF_X25_Y17_N13 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[61] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [62]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [61])); -// Location: LCFF_X15_Y14_N31 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3] ( +// Location: LCFF_X25_Y17_N23 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[60] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~9_combout ), - .sdata(gnd), - .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [61]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [3])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [60])); -// Location: LCCOMB_X16_Y14_N20 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2]~feeder ( +// Location: LCCOMB_X25_Y17_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[59]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [2]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [60]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[59]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2]~feeder .lut_mask = 16'hFF00; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[59]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[59]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X16_Y14_N21 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2] ( +// Location: LCFF_X25_Y17_N9 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[59] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[59]~feeder_combout ), .sdata(gnd), - .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0_combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2]~regout )); - -// Location: LCCOMB_X15_Y14_N18 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~3 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [3]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2]~regout ), - .datad(vcc), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~3_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~3 .lut_mask = 16'hE4E4; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~3 .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [59])); -// Location: LCFF_X15_Y14_N19 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[2] ( +// Location: LCFF_X25_Y17_N5 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[58] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~3_combout ), - .sdata(gnd), - .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [59]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2_combout ), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [2])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [58])); -// Location: LCCOMB_X16_Y14_N0 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~feeder ( +// Location: LCCOMB_X25_Y17_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[57]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [0]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [58]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[57]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~feeder .lut_mask = 16'hFF00; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[57]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[57]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X16_Y14_N1 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0] ( +// Location: LCFF_X25_Y17_N1 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[57] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[57]~feeder_combout ), .sdata(gnd), - .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0_combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~regout )); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [57])); -// Location: LCCOMB_X15_Y14_N16 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~0 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~regout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [1]), - .datad(vcc), +// Location: LCCOMB_X23_Y18_N24 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[56]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [57]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~0_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[56]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~0 .lut_mask = 16'hD8D8; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~0 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[56]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[56]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X15_Y14_N17 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0] ( +// Location: LCFF_X23_Y18_N25 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[56] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~0_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[56]~feeder_combout ), .sdata(gnd), - .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2_combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [0])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [56])); -// Location: LCCOMB_X15_Y14_N22 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~5 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [1]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [2]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [0]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~5_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~5 .lut_mask = 16'h0080; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~5 .sum_lutc_input = "datac"; -// synopsys translate_on +// Location: LCFF_X23_Y18_N13 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[55] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [56]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [55])); -// Location: LCCOMB_X12_Y14_N6 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~6 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg [1]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg~regout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg [2]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~5_combout ), +// Location: LCCOMB_X23_Y18_N6 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[54]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [55]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~6_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[54]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~6 .lut_mask = 16'h7430; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~6 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[54]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[54]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X12_Y14_N7 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2] ( +// Location: LCFF_X23_Y18_N7 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[54] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~6_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[54]~feeder_combout ), .sdata(gnd), - .aclr(!\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg [2])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [54])); -// Location: LCCOMB_X12_Y14_N20 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg_proc~0 ( +// Location: LCCOMB_X23_Y18_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[53]~feeder ( .dataa(vcc), .datab(vcc), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [1]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg [2]), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [54]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg_proc~0_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[53]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg_proc~0 .lut_mask = 16'hF000; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg_proc~0 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[53]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[53]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X12_Y14_N21 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg ( +// Location: LCFF_X23_Y18_N21 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[53] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg_proc~0_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[53]~feeder_combout ), .sdata(gnd), - .aclr(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~regout )); - -// Location: CLKCTRL_G1 -cycloneii_clkctrl \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl ( - .ena(vcc), - .inclk({gnd,gnd,gnd,\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~regout }), - .clkselect(2'b00), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .outclk(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk )); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl .clock_type = "global clock"; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl .ena_register_mode = "none"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [53])); -// Location: LCFF_X14_Y14_N1 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[8] ( +// Location: LCFF_X24_Y18_N1 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[52] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~4_combout ), - .sdata(\~GND~combout ), - .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [53]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [52])); -// Location: LCFF_X16_Y14_N23 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][3] ( +// Location: LCFF_X24_Y18_N5 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[51] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(gnd), - .sdata(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [3]), - .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [52]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(vcc), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0_combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][3]~regout )); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [51])); -// Location: LCCOMB_X15_Y14_N14 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~13 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), +// Location: LCCOMB_X24_Y18_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[50]~feeder ( + .dataa(vcc), .datab(vcc), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~regout ), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [51]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~13_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[50]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~13 .lut_mask = 16'hFA50; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~13 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[50]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[50]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X15_Y14_N15 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[7] ( +// Location: LCFF_X24_Y18_N3 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[50] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~13_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[50]~feeder_combout ), .sdata(gnd), - .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2_combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [7])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [50])); -// Location: LCCOMB_X16_Y14_N6 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~feeder ( +// Location: LCFF_X24_Y18_N21 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[49] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [50]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [49])); + +// Location: LCCOMB_X24_Y18_N26 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[48]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [7]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [49]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[48]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~feeder .lut_mask = 16'hFF00; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[48]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[48]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X16_Y14_N7 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7] ( +// Location: LCFF_X24_Y18_N27 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[48] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[48]~feeder_combout ), .sdata(gnd), - .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0_combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~regout )); - -// Location: LCCOMB_X15_Y18_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|safe_q [0]), - .datac(vcc), - .datad(vcc), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~combout ), - .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~COUT )); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0 .lut_mask = 16'h33CC; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0 .sum_lutc_input = "cin"; -// synopsys translate_on - -// Location: LCCOMB_X16_Y17_N0 -cycloneii_lcell_comb \auto_signaltap_0|~GND ( -// Equation(s): -// \auto_signaltap_0|~GND~combout = GND - - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(vcc), - .cin(gnd), - .combout(\auto_signaltap_0|~GND~combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|~GND .lut_mask = 16'h0000; -defparam \auto_signaltap_0|~GND .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [48])); -// Location: LCCOMB_X15_Y18_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~0 ( +// Location: LCCOMB_X24_Y18_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[47]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(vcc), - .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~COUT ), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~0 .lut_mask = 16'hF0F0; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~0 .sum_lutc_input = "cin"; -// synopsys translate_on - -// Location: LCCOMB_X15_Y18_N30 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit1a[0]~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~7_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~0_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|safe_q [0]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [48]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit1a[0]~0_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[47]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit1a[0]~0 .lut_mask = 16'hDFFF; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit1a[0]~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X18_Y18_N14 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [1]), - .datac(vcc), - .datad(vcc), - .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0~COUT ), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1~combout ), - .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1~COUT )); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1 .lut_mask = 16'h3C3F; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1 .sum_lutc_input = "cin"; -// synopsys translate_on - -// Location: LCCOMB_X18_Y18_N16 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [2]), - .datab(vcc), - .datac(vcc), - .datad(vcc), - .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1~COUT ), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2~combout ), - .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2~COUT )); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2 .lut_mask = 16'hA50A; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2 .sum_lutc_input = "cin"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[47]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[47]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X18_Y18_N18 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [3]), - .datac(vcc), - .datad(vcc), - .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2~COUT ), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~combout ), - .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~COUT )); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3 .lut_mask = 16'h3C3F; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3 .sum_lutc_input = "cin"; -// synopsys translate_on +// Location: LCFF_X24_Y18_N9 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[47] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[47]~feeder_combout ), + .sdata(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [47])); -// Location: LCFF_X18_Y18_N19 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[3] ( +// Location: LCFF_X24_Y18_N15 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[46] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~combout ), - .sdata(\auto_signaltap_0|~GND~combout ), - .aclr(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [47]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[3]~0_combout ), - .ena(vcc), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [3])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [46])); -// Location: LCCOMB_X18_Y18_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~0 ( +// Location: LCCOMB_X25_Y18_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[45]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(vcc), - .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~COUT ), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~0_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [46]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[45]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~0 .lut_mask = 16'h0F0F; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~0 .sum_lutc_input = "cin"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[45]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[45]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y18_N17 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[2] ( +// Location: LCFF_X25_Y18_N3 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[45] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2~combout ), - .sdata(\auto_signaltap_0|~GND~combout ), - .aclr(gnd), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[45]~feeder_combout ), + .sdata(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[3]~0_combout ), - .ena(vcc), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [2])); - -// Location: LCCOMB_X18_Y18_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [0]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [1]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [2]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [3]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0 .lut_mask = 16'h4000; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0 .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [45])); -// Location: LCCOMB_X18_Y18_N10 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[3]~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), +// Location: LCCOMB_X25_Y18_N24 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[44]~feeder ( + .dataa(vcc), .datab(vcc), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~0_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [45]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[3]~0_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[44]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[3]~0 .lut_mask = 16'hFFF5; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[3]~0 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[44]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[44]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y18_N15 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[1] ( +// Location: LCFF_X25_Y18_N25 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[44] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1~combout ), - .sdata(\auto_signaltap_0|~GND~combout ), - .aclr(gnd), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[44]~feeder_combout ), + .sdata(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[3]~0_combout ), - .ena(vcc), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [1])); - -// Location: LCCOMB_X18_Y18_N4 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [0]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [1]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [2]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [3]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~0 .lut_mask = 16'h0800; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~0 .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [44])); -// Location: LCFF_X15_Y18_N1 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit1a[0] ( +// Location: LCFF_X25_Y18_N29 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[43] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~combout ), - .sdata(\auto_signaltap_0|~GND~combout ), - .aclr(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [44]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit1a[0]~0_combout ), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~0_combout ), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|safe_q [0])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [43])); -// Location: LCCOMB_X15_Y18_N4 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr[0]~feeder ( +// Location: LCCOMB_X25_Y18_N22 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[42]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|safe_q [0]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [43]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr[0]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[42]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr[0]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr[0]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[42]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[42]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X15_Y18_N5 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr[0] ( +// Location: LCFF_X25_Y18_N23 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[42] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr[0]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[42]~feeder_combout ), .sdata(gnd), - .aclr(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~regout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0])); - -// Location: LCCOMB_X20_Y15_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~0 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[0]~regout ), - .datac(vcc), - .datad(vcc), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~0_combout ), - .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~1 )); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~0 .lut_mask = 16'h33CC; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~0 .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [42])); -// Location: LCCOMB_X19_Y15_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~feeder ( +// Location: LCCOMB_X26_Y18_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[41]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(vcc), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~feeder_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~feeder .lut_mask = 16'hFFFF; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~feeder .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X15_Y15_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~0 ( - .dataa(vcc), - .datab(vcc), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~regout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [42]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~0_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[41]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~0 .lut_mask = 16'hFFF0; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~0 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[41]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[41]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X15_Y15_N9 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all ( +// Location: LCFF_X26_Y18_N9 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[41] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~0_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[41]~feeder_combout ), .sdata(gnd), - .aclr(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~regout )); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [41])); -// Location: CLKCTRL_G10 -cycloneii_clkctrl \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl ( - .ena(vcc), - .inclk({gnd,gnd,gnd,\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~regout }), - .clkselect(2'b00), +// Location: LCFF_X26_Y18_N29 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[40] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [41]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .outclk(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk )); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl .clock_type = "global clock"; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl .ena_register_mode = "none"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [40])); -// Location: LCCOMB_X20_Y16_N30 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0]~feeder ( +// Location: LCCOMB_X26_Y18_N22 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[39]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [40]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[39]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0]~feeder .lut_mask = 16'hFFFF; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[39]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[39]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y16_N31 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0] ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0]~feeder_combout ), +// Location: LCFF_X26_Y18_N23 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[39] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[39]~feeder_combout ), .sdata(gnd), - .aclr(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [0])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [39])); -// Location: LCCOMB_X20_Y16_N4 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1]~feeder ( +// Location: LCCOMB_X26_Y18_N24 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[38]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [0]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [39]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[38]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[38]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[38]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y16_N5 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1]~feeder_combout ), +// Location: LCFF_X26_Y18_N25 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[38] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[38]~feeder_combout ), .sdata(gnd), - .aclr(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [1])); - -// Location: LCFF_X20_Y16_N21 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[2] ( - .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [1]), - .aclr(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [2])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [38])); -// Location: LCFF_X20_Y16_N3 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[3] ( - .clk(\clk~clkctrl_outclk ), +// Location: LCFF_X26_Y18_N5 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[37] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [2]), - .aclr(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [38]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(vcc), - .ena(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [3])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [37])); -// Location: LCFF_X20_Y16_N11 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run ( - .clk(\clk~clkctrl_outclk ), +// Location: LCFF_X26_Y18_N31 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[36] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(gnd), - .sdata(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][1]~regout ), - .aclr(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [37]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(vcc), - .ena(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run~regout )); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [36])); -// Location: LCCOMB_X20_Y19_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[137]~feeder ( +// Location: LCCOMB_X26_Y18_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[35]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\altera_internal_jtag~TDIUTAP ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[137]~feeder_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[137]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[137]~feeder .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X15_Y15_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena[1]~reg0_regout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][3]~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [36]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[35]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena .lut_mask = 16'h2000; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[35]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[35]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y19_N13 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[137] ( +// Location: LCFF_X26_Y18_N21 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[35] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[137]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[35]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -37993,26 +43703,39 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [137])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [35])); -// Location: LCCOMB_X20_Y19_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[136]~feeder ( +// Location: LCFF_X31_Y18_N25 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[34] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [35]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [34])); + +// Location: LCCOMB_X31_Y18_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[33]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [137]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [34]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[136]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[33]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[136]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[136]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[33]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[33]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y19_N25 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[136] ( +// Location: LCFF_X31_Y18_N31 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[33] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[136]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[33]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -38020,93 +43743,65 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [136])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [33])); -// Location: LCFF_X20_Y19_N19 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[135] ( +// Location: LCFF_X31_Y18_N5 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[32] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [136]), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [33]), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [135])); - -// Location: LCCOMB_X22_Y19_N6 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[134]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [135]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[134]~feeder_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[134]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[134]~feeder .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [32])); -// Location: LCFF_X22_Y19_N7 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[134] ( +// Location: LCFF_X31_Y18_N7 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[31] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[134]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [32]), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [134])); - -// Location: LCCOMB_X22_Y19_N10 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[133]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [134]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[133]~feeder_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[133]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[133]~feeder .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [31])); -// Location: LCFF_X22_Y19_N11 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[133] ( +// Location: LCFF_X31_Y18_N11 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[30] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[133]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [31]), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [133])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [30])); -// Location: LCCOMB_X22_Y19_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[132]~feeder ( +// Location: LCCOMB_X31_Y18_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[29]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [133]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [30]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[132]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[29]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[132]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[132]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[29]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[29]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X22_Y19_N13 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[132] ( +// Location: LCFF_X31_Y18_N17 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[29] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[132]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[29]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -38114,66 +43809,52 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [132])); - -// Location: LCCOMB_X22_Y19_N14 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[131]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [132]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[131]~feeder_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[131]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[131]~feeder .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [29])); -// Location: LCFF_X22_Y19_N15 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[131] ( +// Location: LCFF_X31_Y18_N27 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[28] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[131]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [29]), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [131])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [28])); -// Location: LCFF_X22_Y19_N19 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[130] ( +// Location: LCFF_X31_Y18_N15 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[27] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [131]), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [28]), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [130])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [27])); -// Location: LCCOMB_X22_Y19_N28 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[129]~feeder ( +// Location: LCCOMB_X32_Y18_N14 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[26]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [130]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [27]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[129]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[26]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[129]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[129]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[26]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[26]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X22_Y19_N29 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[129] ( +// Location: LCFF_X32_Y18_N15 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[26] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[129]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[26]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -38181,120 +43862,91 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [129])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [26])); -// Location: LCFF_X22_Y21_N1 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[128] ( +// Location: LCFF_X32_Y18_N7 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[25] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [129]), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [26]), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [128])); - -// Location: LCCOMB_X22_Y21_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[127]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [128]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[127]~feeder_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[127]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[127]~feeder .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [25])); -// Location: LCFF_X22_Y21_N21 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[127] ( +// Location: LCFF_X32_Y18_N23 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[24] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[127]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [25]), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [127])); - -// Location: LCCOMB_X22_Y21_N6 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[126]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [127]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[126]~feeder_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[126]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[126]~feeder .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [24])); -// Location: LCFF_X22_Y21_N7 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[126] ( +// Location: LCFF_X32_Y18_N27 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[23] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[126]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [24]), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [126])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [23])); -// Location: LCCOMB_X22_Y21_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[125]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [126]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[125]~feeder_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[125]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[125]~feeder .sum_lutc_input = "datac"; -// synopsys translate_on +// Location: LCFF_X32_Y18_N25 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[22] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [23]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [22])); -// Location: LCFF_X22_Y21_N25 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[125] ( +// Location: LCFF_X32_Y18_N11 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[21] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[125]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [22]), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [125])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [21])); -// Location: LCCOMB_X22_Y21_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[124]~feeder ( +// Location: LCCOMB_X32_Y18_N4 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[20]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [125]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [21]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[124]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[20]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[124]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[124]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[20]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[20]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X22_Y21_N13 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[124] ( +// Location: LCFF_X32_Y18_N5 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[20] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[124]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[20]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -38302,53 +43954,52 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [124])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [20])); -// Location: LCCOMB_X22_Y21_N22 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[123]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [124]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[123]~feeder_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[123]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[123]~feeder .sum_lutc_input = "datac"; -// synopsys translate_on +// Location: LCFF_X32_Y18_N17 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[19] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [20]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [19])); -// Location: LCFF_X22_Y21_N23 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[123] ( +// Location: LCFF_X32_Y17_N1 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[18] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[123]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [19]), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [123])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [18])); -// Location: LCCOMB_X21_Y20_N10 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[122]~feeder ( +// Location: LCCOMB_X32_Y17_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[17]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [123]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [18]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[122]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[17]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[122]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[122]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[17]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[17]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X21_Y20_N11 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[122] ( +// Location: LCFF_X32_Y17_N11 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[17] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[122]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[17]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -38356,66 +44007,52 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [122])); - -// Location: LCCOMB_X21_Y20_N16 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[121]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [122]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[121]~feeder_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[121]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[121]~feeder .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [17])); -// Location: LCFF_X21_Y20_N17 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[121] ( +// Location: LCFF_X31_Y17_N25 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[16] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[121]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [17]), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [121])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [16])); -// Location: LCFF_X21_Y20_N7 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[120] ( +// Location: LCFF_X31_Y17_N23 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[15] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [121]), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [16]), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [120])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [15])); -// Location: LCCOMB_X21_Y20_N14 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[119]~feeder ( +// Location: LCCOMB_X31_Y17_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[14]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [120]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [15]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[119]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[14]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[119]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[119]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[14]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[14]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X21_Y20_N15 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[119] ( +// Location: LCFF_X31_Y17_N31 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[14] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[119]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[14]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -38423,53 +44060,39 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [119])); - -// Location: LCCOMB_X22_Y20_N22 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[118]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [119]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[118]~feeder_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[118]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[118]~feeder .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [14])); -// Location: LCFF_X22_Y20_N23 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[118] ( +// Location: LCFF_X31_Y17_N1 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[13] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[118]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [14]), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [118])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [13])); -// Location: LCCOMB_X22_Y20_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[117]~feeder ( +// Location: LCCOMB_X31_Y17_N26 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[12]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [118]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [13]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[117]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[12]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[117]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[117]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[12]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[12]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X22_Y20_N9 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[117] ( +// Location: LCFF_X31_Y17_N27 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[12] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[117]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[12]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -38477,26 +44100,26 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [117])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [12])); -// Location: LCCOMB_X22_Y20_N4 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[116]~feeder ( +// Location: LCCOMB_X31_Y17_N14 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[11]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [117]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [12]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[116]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[11]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[116]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[116]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[11]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[11]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X22_Y20_N5 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[116] ( +// Location: LCFF_X31_Y17_N15 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[11] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[116]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[11]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -38504,66 +44127,52 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [116])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [11])); -// Location: LCFF_X22_Y20_N29 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[115] ( +// Location: LCFF_X31_Y17_N7 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[10] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [116]), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [11]), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [115])); - -// Location: LCCOMB_X22_Y20_N30 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[114]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [115]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[114]~feeder_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[114]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[114]~feeder .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [10])); -// Location: LCFF_X22_Y20_N31 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[114] ( +// Location: LCFF_X32_Y17_N29 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[9] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[114]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [10]), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [114])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [9])); -// Location: LCCOMB_X22_Y20_N16 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[113]~feeder ( +// Location: LCCOMB_X23_Y17_N14 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[8]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [114]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [9]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[113]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[8]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[113]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[113]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[8]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[8]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X22_Y20_N17 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[113] ( +// Location: LCFF_X23_Y17_N15 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[8] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[113]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[8]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -38571,39 +44180,39 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [113])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [8])); -// Location: LCFF_X22_Y20_N11 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[112] ( +// Location: LCFF_X23_Y17_N25 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[7] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [113]), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [8]), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [112])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [7])); -// Location: LCCOMB_X22_Y20_N14 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[111]~feeder ( +// Location: LCCOMB_X23_Y17_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[6]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [112]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [7]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[111]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[6]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[111]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[111]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[6]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[6]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X22_Y20_N15 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[111] ( +// Location: LCFF_X23_Y17_N31 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[6] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[111]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[6]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -38611,26 +44220,26 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [111])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [6])); -// Location: LCCOMB_X23_Y20_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[110]~feeder ( +// Location: LCCOMB_X23_Y17_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[5]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [111]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [6]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[110]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[110]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[110]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X23_Y20_N9 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[110] ( +// Location: LCFF_X23_Y17_N9 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[5] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[110]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[5]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -38638,66 +44247,52 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [110])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [5])); -// Location: LCFF_X23_Y20_N29 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[109] ( +// Location: LCFF_X23_Y17_N29 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[4] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [110]), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [5]), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [109])); - -// Location: LCCOMB_X23_Y20_N26 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[108]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [109]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[108]~feeder_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[108]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[108]~feeder .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [4])); -// Location: LCFF_X23_Y20_N27 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[108] ( +// Location: LCFF_X23_Y17_N21 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[3] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[108]~feeder_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [4]), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [108])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [3])); -// Location: LCCOMB_X23_Y20_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[107]~feeder ( +// Location: LCCOMB_X23_Y17_N4 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[2]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [108]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [3]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[107]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[107]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[107]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X23_Y20_N25 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[107] ( +// Location: LCFF_X23_Y17_N5 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[2] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[107]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[2]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -38705,52 +44300,65 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [107])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [2])); -// Location: LCFF_X23_Y20_N21 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[106] ( +// Location: LCFF_X23_Y17_N13 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[1] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [107]), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [2]), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [106])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [1])); -// Location: LCFF_X23_Y20_N23 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[105] ( +// Location: LCFF_X22_Y17_N15 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[0] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [106]), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [1]), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [105])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [0])); -// Location: LCCOMB_X23_Y20_N14 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[104]~feeder ( +// Location: LCFF_X22_Y17_N3 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[9] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [0]), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [9])); + +// Location: LCCOMB_X22_Y17_N12 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[8]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [105]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [9]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[104]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[8]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[104]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[104]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[8]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[8]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X23_Y20_N15 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[104] ( +// Location: LCFF_X22_Y17_N13 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[8] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[104]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[8]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -38758,39 +44366,26 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [104])); - -// Location: LCFF_X23_Y20_N3 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[103] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [104]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [103])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [8])); -// Location: LCCOMB_X22_Y16_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[102]~feeder ( +// Location: LCCOMB_X22_Y17_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[7]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [103]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [8]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[102]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[7]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[102]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[102]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[7]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[7]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X22_Y16_N9 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[102] ( +// Location: LCFF_X22_Y17_N1 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[7] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[102]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[7]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -38798,26 +44393,26 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [102])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [7])); -// Location: LCCOMB_X20_Y20_N4 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[101]~feeder ( +// Location: LCCOMB_X22_Y17_N22 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[6]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [102]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [7]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[101]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[6]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[101]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[101]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[6]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[6]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y20_N5 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[101] ( +// Location: LCFF_X22_Y17_N23 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[6] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[101]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[6]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -38825,52 +44420,26 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [101])); - -// Location: LCFF_X20_Y20_N1 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[100] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [101]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [100])); - -// Location: LCFF_X20_Y20_N3 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[99] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [100]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [99])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [6])); -// Location: LCCOMB_X20_Y20_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[98]~feeder ( +// Location: LCCOMB_X22_Y17_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[5]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [99]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [6]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[98]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[98]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[98]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y20_N25 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[98] ( +// Location: LCFF_X22_Y17_N31 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[5] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[98]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[5]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -38878,39 +44447,26 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [98])); - -// Location: LCFF_X20_Y20_N19 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[97] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [98]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [97])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [5])); -// Location: LCCOMB_X20_Y20_N26 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[96]~feeder ( +// Location: LCCOMB_X22_Y17_N28 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[4]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [97]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [5]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[96]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[4]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[96]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[96]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y20_N27 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[96] ( +// Location: LCFF_X22_Y17_N29 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[4] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[96]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[4]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -38918,26 +44474,26 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [96])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [4])); -// Location: LCCOMB_X20_Y20_N14 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[95]~feeder ( +// Location: LCCOMB_X22_Y17_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[3]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [96]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [4]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[95]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[95]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[95]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y20_N15 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[95] ( +// Location: LCFF_X22_Y17_N11 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[3] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[95]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[3]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -38945,39 +44501,26 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [95])); - -// Location: LCFF_X20_Y20_N11 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[94] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [95]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [94])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [3])); -// Location: LCCOMB_X21_Y20_N30 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[93]~feeder ( +// Location: LCCOMB_X22_Y17_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[2]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [94]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [3]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[93]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[93]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[93]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X21_Y20_N31 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[93] ( +// Location: LCFF_X22_Y17_N19 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[2] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[93]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[2]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -38985,26 +44528,26 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [93])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [2])); -// Location: LCCOMB_X21_Y20_N18 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[92]~feeder ( +// Location: LCCOMB_X22_Y17_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[1]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [93]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [2]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[92]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[92]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[92]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X21_Y20_N19 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[92] ( +// Location: LCFF_X22_Y17_N9 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[1] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[92]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[1]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -39012,1713 +44555,1966 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [92])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [1])); -// Location: LCFF_X21_Y20_N3 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[91] ( +// Location: LCFF_X22_Y17_N25 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[0] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [92]), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [1]), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [91])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [0])); -// Location: LCCOMB_X21_Y20_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[90]~feeder ( +// Location: LCFF_X26_Y16_N25 +cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[41] ( + .clk(\clk~clkctrl_outclk ), + .datain(gnd), + .sdata(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout ), + .aclr(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|acq_trigger_in_reg [41])); + +// Location: LCCOMB_X26_Y16_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [91]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [41]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[90]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[90]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[90]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X21_Y20_N21 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[90] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[90]~feeder_combout ), +// Location: LCFF_X26_Y16_N9 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff~feeder_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [90])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff~regout )); -// Location: LCFF_X21_Y20_N25 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[89] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [90]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), +// Location: LCCOMB_X26_Y16_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|p_match_out~1 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|p_match_out~0_combout ), + .datab(\auto_signaltap_0|acq_trigger_in_reg [41]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [125]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|p_match_out~1_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|p_match_out~1 .lut_mask = 16'h28AA; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|p_match_out~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X26_Y16_N31 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|regoutff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|p_match_out~1_combout ), + .sdata(gnd), + .aclr(gnd), .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [89])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|regoutff~regout )); -// Location: LCFF_X22_Y17_N31 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[88] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), +// Location: LCFF_X33_Y16_N15 +cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[39] ( + .clk(\clk~clkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [89]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sdata(\rst~combout ), + .aclr(gnd), .sclr(gnd), .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [88])); + .regout(\auto_signaltap_0|acq_trigger_in_reg [39])); -// Location: LCCOMB_X22_Y17_N26 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[87]~feeder ( +// Location: LCCOMB_X33_Y16_N14 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [117]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [119]), + .datac(\auto_signaltap_0|acq_trigger_in_reg [39]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [118]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~0_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~0 .lut_mask = 16'hFA1B; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X34_Y16_N24 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [88]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [39]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[87]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[87]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[87]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X22_Y17_N27 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[87] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[87]~feeder_combout ), +// Location: LCFF_X34_Y16_N25 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff~feeder_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [87])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff~regout )); -// Location: LCCOMB_X22_Y17_N4 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[86]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [87]), +// Location: LCCOMB_X33_Y16_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~1 ( + .dataa(\auto_signaltap_0|acq_trigger_in_reg [39]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [119]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~0_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff~regout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[86]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[86]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[86]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~1 .lut_mask = 16'h70B0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X22_Y17_N5 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[86] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[86]~feeder_combout ), +// Location: LCFF_X33_Y16_N17 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|regoutff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~1_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [86])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|regoutff~regout )); -// Location: LCFF_X22_Y17_N3 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[85] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), +// Location: LCFF_X27_Y16_N29 +cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[40] ( + .clk(\clk~clkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [86]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sdata(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout ), + .aclr(gnd), .sclr(gnd), .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [85])); + .regout(\auto_signaltap_0|acq_trigger_in_reg [40])); -// Location: LCFF_X22_Y17_N19 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[84] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), +// Location: LCCOMB_X27_Y16_N28 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [121]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [122]), + .datac(\auto_signaltap_0|acq_trigger_in_reg [40]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [120]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~0_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~0 .lut_mask = 16'hAFB1; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X27_Y16_N9 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|holdff ( + .clk(\clk~clkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [85]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sdata(\auto_signaltap_0|acq_trigger_in_reg [40]), + .aclr(gnd), .sclr(gnd), .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [84])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|holdff~regout )); -// Location: LCCOMB_X22_Y17_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[83]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [84]), +// Location: LCCOMB_X27_Y16_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~1 ( + .dataa(\auto_signaltap_0|acq_trigger_in_reg [40]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~0_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [122]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|holdff~regout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[83]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[83]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[83]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~1 .lut_mask = 16'h4C8C; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X22_Y17_N9 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[83] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[83]~feeder_combout ), +// Location: LCFF_X27_Y16_N31 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|regoutff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~1_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [83])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|regoutff~regout )); -// Location: LCFF_X22_Y17_N29 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[82] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), +// Location: LCCOMB_X26_Y16_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~2 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|regoutff~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|regoutff~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|regoutff~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|regoutff~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~2_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~2 .lut_mask = 16'h8000; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X25_Y18_N11 +cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[43] ( + .clk(\clk~clkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [83]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sdata(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout ), + .aclr(gnd), .sclr(gnd), .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [82])); + .regout(\auto_signaltap_0|acq_trigger_in_reg [43])); -// Location: LCCOMB_X22_Y17_N16 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[81]~feeder ( +// Location: LCCOMB_X25_Y18_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [82]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [43]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[81]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[81]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[81]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X22_Y17_N17 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[81] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[81]~feeder_combout ), +// Location: LCFF_X25_Y18_N31 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff~feeder_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [81])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff~regout )); -// Location: LCFF_X22_Y17_N1 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[80] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [81]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), +// Location: LCCOMB_X25_Y18_N12 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|p_match_out~1 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|p_match_out~0_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [131]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [43]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|p_match_out~1_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|p_match_out~1 .lut_mask = 16'h2A8A; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|p_match_out~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X25_Y18_N13 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|regoutff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|p_match_out~1_combout ), + .sdata(gnd), + .aclr(gnd), .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [80])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|regoutff~regout )); -// Location: LCFF_X14_Y18_N27 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[79] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), +// Location: LCFF_X26_Y17_N11 +cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[45] ( + .clk(\clk~clkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [80]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sdata(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), + .aclr(gnd), .sclr(gnd), .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [79])); + .regout(\auto_signaltap_0|acq_trigger_in_reg [45])); -// Location: LCFF_X14_Y18_N25 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[78] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [79]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), +// Location: LCCOMB_X26_Y17_N6 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|acq_trigger_in_reg [45]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X26_Y17_N7 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff~feeder_combout ), + .sdata(gnd), + .aclr(gnd), .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [78])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff~regout )); -// Location: LCFF_X14_Y18_N15 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[77] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), +// Location: LCCOMB_X26_Y17_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [137]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [136]), + .datac(\auto_signaltap_0|acq_trigger_in_reg [45]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [135]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~0_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~0 .lut_mask = 16'hCFD1; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X26_Y17_N24 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~1 ( + .dataa(\auto_signaltap_0|acq_trigger_in_reg [45]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~0_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [137]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~1_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~1 .lut_mask = 16'h60F0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X26_Y17_N25 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|regoutff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~1_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|regoutff~regout )); + +// Location: LCFF_X26_Y17_N1 +cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[44] ( + .clk(\clk~clkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [78]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sdata(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), + .aclr(gnd), .sclr(gnd), .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [77])); + .regout(\auto_signaltap_0|acq_trigger_in_reg [44])); + +// Location: LCCOMB_X26_Y17_N22 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|holdff~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|acq_trigger_in_reg [44]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|holdff~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|holdff~feeder .sum_lutc_input = "datac"; +// synopsys translate_on -// Location: LCFF_X14_Y18_N3 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[76] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [77]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), +// Location: LCFF_X26_Y17_N23 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|holdff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|holdff~feeder_combout ), + .sdata(gnd), + .aclr(gnd), .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [76])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|holdff~regout )); -// Location: LCFF_X14_Y18_N21 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[75] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [76]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), +// Location: LCCOMB_X26_Y17_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|p_match_out~1 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|p_match_out~0_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|holdff~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [134]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [44]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|p_match_out~1_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|p_match_out~1 .lut_mask = 16'h2A8A; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|p_match_out~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X26_Y17_N19 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|regoutff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|p_match_out~1_combout ), + .sdata(gnd), + .aclr(gnd), .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [75])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|regoutff~regout )); -// Location: LCFF_X14_Y18_N9 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[74] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), +// Location: LCCOMB_X26_Y17_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~1 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|regoutff~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|regoutff~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|regoutff~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~1_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~1 .lut_mask = 16'h8000; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X39_Y16_N7 +cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[37] ( + .clk(\clk~clkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [75]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sdata(\inst|inst4|inst115|auto_generated|safe_q [4]), + .aclr(gnd), .sclr(gnd), .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [74])); + .regout(\auto_signaltap_0|acq_trigger_in_reg [37])); -// Location: LCFF_X14_Y18_N31 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[73] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), +// Location: LCFF_X33_Y16_N5 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|holdff ( + .clk(\clk~clkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [74]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sdata(\auto_signaltap_0|acq_trigger_in_reg [37]), + .aclr(gnd), .sclr(gnd), .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [73])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|holdff~regout )); -// Location: LCCOMB_X13_Y21_N26 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[72]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [73]), +// Location: LCCOMB_X33_Y16_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [113]), + .datab(\auto_signaltap_0|acq_trigger_in_reg [37]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [112]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [111]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[72]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[72]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[72]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~0 .lut_mask = 16'hF3C5; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X13_Y21_N27 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[72] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[72]~feeder_combout ), +// Location: LCCOMB_X33_Y16_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~1 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [113]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|holdff~regout ), + .datac(\auto_signaltap_0|acq_trigger_in_reg [37]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~0_combout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~1_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~1 .lut_mask = 16'h7D00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X33_Y16_N1 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|regoutff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~1_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [72])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|regoutff~regout )); -// Location: LCFF_X13_Y18_N25 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[71] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), +// Location: LCFF_X33_Y16_N21 +cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[38] ( + .clk(\clk~clkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [72]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sdata(\inst|inst4|inst115|auto_generated|safe_q [5]), + .aclr(gnd), .sclr(gnd), .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [71])); + .regout(\auto_signaltap_0|acq_trigger_in_reg [38])); -// Location: LCFF_X13_Y18_N11 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[70] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), +// Location: LCFF_X33_Y16_N9 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|holdff ( + .clk(\clk~clkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [71]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sdata(\auto_signaltap_0|acq_trigger_in_reg [38]), + .aclr(gnd), .sclr(gnd), .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [70])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|holdff~regout )); -// Location: LCFF_X13_Y18_N1 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[69] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), +// Location: LCCOMB_X33_Y16_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [116]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [114]), + .datac(\auto_signaltap_0|acq_trigger_in_reg [38]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [115]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~0_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~0 .lut_mask = 16'hFC1D; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y16_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~1 ( + .dataa(\auto_signaltap_0|acq_trigger_in_reg [38]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [116]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|holdff~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~0_combout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~1_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~1 .lut_mask = 16'h7B00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X33_Y16_N11 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|regoutff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~1_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|regoutff~regout )); + +// Location: LCFF_X33_Y17_N13 +cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[36] ( + .clk(\clk~clkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [70]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sdata(\inst|inst4|inst115|auto_generated|safe_q [3]), + .aclr(gnd), .sclr(gnd), .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [69])); + .regout(\auto_signaltap_0|acq_trigger_in_reg [36])); -// Location: LCCOMB_X13_Y18_N16 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[68]~feeder ( +// Location: LCCOMB_X33_Y17_N24 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [69]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [36]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[68]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[68]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[68]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X13_Y18_N17 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[68] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[68]~feeder_combout ), +// Location: LCFF_X33_Y17_N25 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff~feeder_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [68])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff~regout )); -// Location: LCFF_X13_Y18_N19 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[67] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [68]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), +// Location: LCCOMB_X33_Y17_N6 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~0 ( + .dataa(\auto_signaltap_0|acq_trigger_in_reg [36]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [110]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [109]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [108]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~0_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~0 .lut_mask = 16'hF5A3; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X33_Y17_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~1 ( + .dataa(\auto_signaltap_0|acq_trigger_in_reg [36]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [110]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~0_combout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~1_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~1 .lut_mask = 16'h6F00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X33_Y17_N19 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|regoutff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~1_combout ), + .sdata(gnd), + .aclr(gnd), .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [67])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|regoutff~regout )); -// Location: LCCOMB_X13_Y18_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[66]~feeder ( - .dataa(vcc), +// Location: LCCOMB_X33_Y17_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~3 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|regoutff~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|regoutff~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|regoutff~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|regoutff~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~3_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~3 .lut_mask = 16'h8000; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X26_Y17_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~5 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~4_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~2_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~1_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~3_combout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~5_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~5 .lut_mask = 16'h8000; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X26_Y17_N14 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run~regout ), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [67]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~regout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[66]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[66]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[66]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~0 .lut_mask = 16'h5500; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X13_Y18_N21 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[66] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[66]~feeder_combout ), - .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), +// Location: LCFF_X25_Y16_N29 +cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[23] ( + .clk(\clk~clkctrl_outclk ), + .datain(gnd), + .sdata(\inst|inst4|inst107~0_combout ), + .aclr(gnd), .sclr(gnd), - .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .sload(vcc), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [66])); + .regout(\auto_signaltap_0|acq_trigger_in_reg [23])); -// Location: LCFF_X13_Y18_N7 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[65] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [66]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), +// Location: LCCOMB_X25_Y16_N12 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [71]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [69]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [70]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [23]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~0_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~0 .lut_mask = 16'hF1CD; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X25_Y16_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~1 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|holdff~regout ), + .datab(\auto_signaltap_0|acq_trigger_in_reg [23]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [71]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~0_combout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~1_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~1 .lut_mask = 16'h6F00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X25_Y16_N3 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|regoutff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~1_combout ), + .sdata(gnd), + .aclr(gnd), .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [65])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|regoutff~regout )); -// Location: LCFF_X13_Y18_N27 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[64] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), +// Location: LCFF_X25_Y16_N25 +cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[24] ( + .clk(\clk~clkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [65]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sdata(\~GND~combout ), + .aclr(gnd), .sclr(gnd), .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [64])); + .regout(\auto_signaltap_0|acq_trigger_in_reg [24])); -// Location: LCCOMB_X13_Y18_N4 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[63]~feeder ( +// Location: LCCOMB_X25_Y16_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [64]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [24]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[63]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[63]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[63]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X13_Y18_N5 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[63] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[63]~feeder_combout ), +// Location: LCFF_X25_Y16_N21 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|holdff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|holdff~feeder_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [63])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|holdff~regout )); -// Location: LCCOMB_X12_Y18_N16 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[62]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [63]), +// Location: LCCOMB_X25_Y16_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~0 ( + .dataa(\auto_signaltap_0|acq_trigger_in_reg [24]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [74]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [73]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [72]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[62]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[62]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[62]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~0 .lut_mask = 16'hF5A3; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X12_Y18_N17 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[62] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[62]~feeder_combout ), +// Location: LCCOMB_X25_Y16_N22 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~1 ( + .dataa(\auto_signaltap_0|acq_trigger_in_reg [24]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [74]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|holdff~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~0_combout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~1_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~1 .lut_mask = 16'h7B00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X25_Y16_N23 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|regoutff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~1_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [62])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|regoutff~regout )); -// Location: LCFF_X12_Y18_N19 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[61] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), +// Location: LCFF_X29_Y16_N23 +cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[26] ( + .clk(\clk~clkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [62]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sdata(\inst|inst4|inst107~0_combout ), + .aclr(gnd), .sclr(gnd), .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [61])); + .regout(\auto_signaltap_0|acq_trigger_in_reg [26])); -// Location: LCCOMB_X12_Y18_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[60]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [61]), +// Location: LCCOMB_X29_Y16_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [78]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [80]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [79]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [26]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[60]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[60]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[60]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~0 .lut_mask = 16'hF1AB; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X12_Y18_N9 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[60] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[60]~feeder_combout ), +// Location: LCCOMB_X29_Y16_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~1 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|holdff~regout ), + .datab(\auto_signaltap_0|acq_trigger_in_reg [26]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [80]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~0_combout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~1_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~1 .lut_mask = 16'h6F00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X29_Y16_N21 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|regoutff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~1_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [60])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|regoutff~regout )); + +// Location: LCCOMB_X25_Y16_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~7 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|regoutff~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|regoutff~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|regoutff~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|regoutff~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~7_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~7 .lut_mask = 16'h8000; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X24_Y17_N16 +cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[21]~feeder ( +// Equation(s): +// \auto_signaltap_0|acq_trigger_in_reg[21]~feeder_combout = \inst|inst7|Mux1~1_combout -// Location: LCCOMB_X12_Y18_N14 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[59]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [60]), + .datad(\inst|inst7|Mux1~1_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[59]~feeder_combout ), + .combout(\auto_signaltap_0|acq_trigger_in_reg[21]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[59]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[59]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|acq_trigger_in_reg[21]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_trigger_in_reg[21]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X12_Y18_N15 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[59] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[59]~feeder_combout ), +// Location: LCFF_X24_Y17_N17 +cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[21] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|acq_trigger_in_reg[21]~feeder_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [59])); + .regout(\auto_signaltap_0|acq_trigger_in_reg [21])); -// Location: LCFF_X12_Y18_N27 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[58] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [59]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [58])); +// Location: LCCOMB_X25_Y17_N28 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [63]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [65]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [64]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [21]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~0_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~0 .lut_mask = 16'hF1AB; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~0 .sum_lutc_input = "datac"; +// synopsys translate_on -// Location: LCFF_X12_Y18_N13 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[57] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [58]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), +// Location: LCCOMB_X25_Y17_N26 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~1 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|holdff~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~0_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [65]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [21]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~1_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~1 .lut_mask = 16'h4C8C; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X25_Y17_N27 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|regoutff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~1_combout ), + .sdata(gnd), + .aclr(gnd), .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [57])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|regoutff~regout )); + +// Location: LCCOMB_X24_Y16_N18 +cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[22]~feeder ( +// Equation(s): +// \auto_signaltap_0|acq_trigger_in_reg[22]~feeder_combout = \inst|inst7|Mux0~4_combout -// Location: LCCOMB_X12_Y18_N4 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[56]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [57]), + .datad(\inst|inst7|Mux0~4_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[56]~feeder_combout ), + .combout(\auto_signaltap_0|acq_trigger_in_reg[22]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[56]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[56]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|acq_trigger_in_reg[22]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_trigger_in_reg[22]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X12_Y18_N5 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[56] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[56]~feeder_combout ), +// Location: LCFF_X24_Y16_N19 +cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[22] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|acq_trigger_in_reg[22]~feeder_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [56])); - -// Location: LCFF_X12_Y18_N7 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[55] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [56]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [55])); + .regout(\auto_signaltap_0|acq_trigger_in_reg [22])); -// Location: LCFF_X21_Y20_N13 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[54] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [55]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [54])); +// Location: LCCOMB_X25_Y16_N4 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [68]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [66]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [67]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [22]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~0_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~0 .lut_mask = 16'hF1CD; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~0 .sum_lutc_input = "datac"; +// synopsys translate_on -// Location: LCCOMB_X12_Y19_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[53]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [54]), +// Location: LCCOMB_X25_Y16_N6 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~1 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|holdff~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~0_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [68]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [22]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[53]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[53]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[53]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~1 .lut_mask = 16'h4C8C; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X12_Y19_N21 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[53] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[53]~feeder_combout ), +// Location: LCFF_X25_Y16_N7 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|regoutff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~1_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [53])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|regoutff~regout )); -// Location: LCFF_X12_Y19_N15 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[52] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), +// Location: LCFF_X25_Y17_N17 +cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[20] ( + .clk(\clk~clkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [53]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sdata(\inst|inst7|Mux2~4_combout ), + .aclr(gnd), .sclr(gnd), .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [52])); + .regout(\auto_signaltap_0|acq_trigger_in_reg [20])); -// Location: LCCOMB_X12_Y19_N22 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[51]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [52]), +// Location: LCCOMB_X25_Y17_N12 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~0 ( + .dataa(\auto_signaltap_0|acq_trigger_in_reg [20]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [62]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [61]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [60]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[51]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[51]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[51]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~0 .lut_mask = 16'hF5A3; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X12_Y19_N23 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[51] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[51]~feeder_combout ), +// Location: LCCOMB_X25_Y17_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~1 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|holdff~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [62]), + .datac(\auto_signaltap_0|acq_trigger_in_reg [20]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~0_combout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~1_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~1 .lut_mask = 16'h7B00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X25_Y17_N31 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|regoutff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~1_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [51])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|regoutff~regout )); + +// Location: LCCOMB_X25_Y17_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~8 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|regoutff~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|regoutff~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|regoutff~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|regoutff~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~8_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~8 .lut_mask = 16'h8000; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X27_Y16_N2 +cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[28]~feeder ( +// Equation(s): +// \auto_signaltap_0|acq_trigger_in_reg[28]~feeder_combout = \inst|inst8|Mux1~0_combout -// Location: LCCOMB_X12_Y19_N10 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[50]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [51]), + .datad(\inst|inst8|Mux1~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[50]~feeder_combout ), + .combout(\auto_signaltap_0|acq_trigger_in_reg[28]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[50]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[50]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|acq_trigger_in_reg[28]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_trigger_in_reg[28]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X12_Y19_N11 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[50] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[50]~feeder_combout ), +// Location: LCFF_X27_Y16_N3 +cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[28] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|acq_trigger_in_reg[28]~feeder_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [50])); - -// Location: LCFF_X12_Y19_N17 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[49] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [50]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [49])); + .regout(\auto_signaltap_0|acq_trigger_in_reg [28])); -// Location: LCCOMB_X12_Y19_N26 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[48]~feeder ( +// Location: LCCOMB_X27_Y16_N22 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [49]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [28]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[48]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[48]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[48]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X12_Y19_N27 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[48] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[48]~feeder_combout ), +// Location: LCFF_X27_Y16_N23 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|holdff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|holdff~feeder_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [48])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|holdff~regout )); -// Location: LCFF_X12_Y19_N5 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[47] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [48]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [47])); +// Location: LCCOMB_X27_Y16_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|p_match_out~1 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|p_match_out~0_combout ), + .datab(\auto_signaltap_0|acq_trigger_in_reg [28]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [86]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|holdff~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|p_match_out~1_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|p_match_out~1 .lut_mask = 16'h2A8A; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|p_match_out~1 .sum_lutc_input = "datac"; +// synopsys translate_on -// Location: LCFF_X12_Y19_N19 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[46] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [47]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), +// Location: LCFF_X27_Y16_N1 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|regoutff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|p_match_out~1_combout ), + .sdata(gnd), + .aclr(gnd), .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [46])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|regoutff~regout )); + +// Location: LCCOMB_X30_Y16_N18 +cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[27]~feeder ( +// Equation(s): +// \auto_signaltap_0|acq_trigger_in_reg[27]~feeder_combout = \mode~combout [0] -// Location: LCCOMB_X12_Y21_N10 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[45]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [46]), + .datad(\mode~combout [0]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[45]~feeder_combout ), + .combout(\auto_signaltap_0|acq_trigger_in_reg[27]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[45]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[45]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|acq_trigger_in_reg[27]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_trigger_in_reg[27]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X12_Y21_N11 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[45] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[45]~feeder_combout ), +// Location: LCFF_X30_Y16_N19 +cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[27] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|acq_trigger_in_reg[27]~feeder_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [45])); + .regout(\auto_signaltap_0|acq_trigger_in_reg [27])); -// Location: LCCOMB_X12_Y21_N4 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[44]~feeder ( +// Location: LCCOMB_X30_Y16_N4 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [45]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [27]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[44]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[44]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[44]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X12_Y21_N5 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[44] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[44]~feeder_combout ), +// Location: LCFF_X30_Y16_N5 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff~feeder_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [44])); - -// Location: LCFF_X12_Y21_N7 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[43] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [44]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [43])); - -// Location: LCFF_X12_Y21_N3 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[42] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [43]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [42])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff~regout )); -// Location: LCCOMB_X12_Y21_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[41]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [42]), +// Location: LCCOMB_X29_Y16_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|p_match_out~1 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|p_match_out~0_combout ), + .datab(\auto_signaltap_0|acq_trigger_in_reg [27]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [83]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff~regout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[41]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|p_match_out~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[41]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[41]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|p_match_out~1 .lut_mask = 16'h2A8A; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X12_Y21_N21 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[41] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[41]~feeder_combout ), +// Location: LCFF_X29_Y16_N1 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|regoutff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|p_match_out~1_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [41])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|regoutff~regout )); -// Location: LCFF_X12_Y21_N1 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[40] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [41]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [40])); +// Location: LCCOMB_X30_Y14_N30 +cycloneii_lcell_comb \mode[1]~_wirecell ( +// Equation(s): +// \mode[1]~_wirecell_combout = !\mode~combout [1] -// Location: LCCOMB_X12_Y21_N28 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[39]~feeder ( .dataa(vcc), .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [40]), + .datac(\mode~combout [1]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[39]~feeder_combout ), + .combout(\mode[1]~_wirecell_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[39]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[39]~feeder .sum_lutc_input = "datac"; +defparam \mode[1]~_wirecell .lut_mask = 16'h0F0F; +defparam \mode[1]~_wirecell .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X12_Y21_N29 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[39] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[39]~feeder_combout ), - .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [39])); +// Location: LCCOMB_X27_Y17_N0 +cycloneii_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[29]~feeder ( +// Equation(s): +// \auto_signaltap_0|acq_trigger_in_reg[29]~feeder_combout = \mode[1]~_wirecell_combout -// Location: LCCOMB_X13_Y21_N16 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[38]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [39]), + .datad(\mode[1]~_wirecell_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[38]~feeder_combout ), + .combout(\auto_signaltap_0|acq_trigger_in_reg[29]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[38]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[38]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|acq_trigger_in_reg[29]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|acq_trigger_in_reg[29]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X13_Y21_N17 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[38] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[38]~feeder_combout ), +// Location: LCFF_X27_Y17_N1 +cycloneii_lcell_ff \auto_signaltap_0|acq_trigger_in_reg[29] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|acq_trigger_in_reg[29]~feeder_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [38])); - -// Location: LCFF_X13_Y21_N5 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[37] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [38]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [37])); - -// Location: LCFF_X13_Y21_N7 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[36] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [37]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [36])); + .regout(\auto_signaltap_0|acq_trigger_in_reg [29])); -// Location: LCCOMB_X13_Y21_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[35]~feeder ( +// Location: LCCOMB_X27_Y17_N14 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [36]), + .datad(\auto_signaltap_0|acq_trigger_in_reg [29]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[35]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[35]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[35]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X13_Y21_N25 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[35] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[35]~feeder_combout ), +// Location: LCFF_X27_Y17_N15 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff~feeder_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [35])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff~regout )); -// Location: LCFF_X13_Y21_N13 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[34] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [35]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [34])); +// Location: LCCOMB_X27_Y17_N22 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [89]), + .datab(\auto_signaltap_0|acq_trigger_in_reg [29]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [88]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [87]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~0_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~0 .lut_mask = 16'hF3C5; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~0 .sum_lutc_input = "datac"; +// synopsys translate_on -// Location: LCCOMB_X13_Y21_N22 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[33]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [34]), +// Location: LCCOMB_X27_Y17_N26 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~1 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [89]), + .datab(\auto_signaltap_0|acq_trigger_in_reg [29]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[33]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[33]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[33]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~1 .lut_mask = 16'h7D00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X13_Y21_N23 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[33] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[33]~feeder_combout ), +// Location: LCFF_X27_Y17_N27 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|regoutff ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~1_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [33])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|regoutff~regout )); + +// Location: LCCOMB_X27_Y17_N12 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~6 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|regoutff~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|regoutff~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|regoutff~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|regoutff~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~6_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~6 .lut_mask = 16'h8000; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X27_Y17_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~10 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~9_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~7_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~8_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~6_combout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~10_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~10 .lut_mask = 16'h8000; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~10 .sum_lutc_input = "datac"; +// synopsys translate_on -// Location: LCCOMB_X13_Y21_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[32]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [33]), +// Location: LCCOMB_X26_Y17_N28 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~16 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~15_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~5_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~0_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~10_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[32]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~16_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[32]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[32]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~16 .lut_mask = 16'hF8F0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~16 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X13_Y21_N21 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[32] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[32]~feeder_combout ), +// Location: LCFF_X26_Y17_N29 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~16_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [32])); - -// Location: LCFF_X19_Y21_N25 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[31] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [32]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [31])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~regout )); -// Location: LCCOMB_X19_Y21_N26 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[30]~feeder ( - .dataa(vcc), +// Location: LCCOMB_X22_Y17_N26 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [31]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [0]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~regout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[30]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[30]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[30]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0 .lut_mask = 16'h5505; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y21_N27 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[30] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[30]~feeder_combout ), - .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [30])); +// Location: LCCOMB_X20_Y16_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter~1 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~0_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter~1_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter~1 .lut_mask = 16'hBB02; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter~1 .sum_lutc_input = "datac"; +// synopsys translate_on -// Location: LCCOMB_X19_Y21_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[29]~feeder ( +// Location: LCCOMB_X20_Y17_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [30]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[29]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[29]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[29]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0]~feeder .lut_mask = 16'hFFFF; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y21_N3 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[29] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[29]~feeder_combout ), +// Location: LCFF_X20_Y17_N3 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0]~feeder_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [29])); - -// Location: LCFF_X19_Y21_N29 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[28] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [29]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [28])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [0])); -// Location: LCCOMB_X19_Y21_N14 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[27]~feeder ( +// Location: LCCOMB_X20_Y17_N26 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [28]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [0]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[27]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[27]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[27]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y21_N15 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[27] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[27]~feeder_combout ), +// Location: LCFF_X20_Y17_N27 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1]~feeder_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [27])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [1])); -// Location: LCCOMB_X20_Y21_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[26]~feeder ( +// Location: LCCOMB_X20_Y17_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[2]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [27]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [1]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[26]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[26]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[26]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[2]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y21_N21 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[26] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[26]~feeder_combout ), +// Location: LCFF_X20_Y17_N19 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[2] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[2]~feeder_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [26])); - -// Location: LCFF_X20_Y21_N13 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[25] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [26]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [25])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [2])); -// Location: LCFF_X20_Y21_N19 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[24] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), +// Location: LCFF_X20_Y17_N17 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[3] ( + .clk(\clk~clkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [25]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [2]), + .aclr(gnd), .sclr(gnd), .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [24])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [3])); -// Location: LCCOMB_X20_Y21_N16 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[23]~feeder ( - .dataa(vcc), +// Location: LCCOMB_X20_Y17_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run~regout ), .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [24]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [3]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[23]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[23]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[23]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data .lut_mask = 16'hA0A0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y21_N17 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[23] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[23]~feeder_combout ), +// Location: LCFF_X20_Y16_N9 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter~1_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [23])); - -// Location: LCFF_X20_Y21_N11 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[22] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [23]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [22])); - -// Location: LCFF_X20_Y21_N31 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[21] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [22]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [21])); - -// Location: LCFF_X20_Y21_N25 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[20] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [21]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [20])); - -// Location: LCFF_X20_Y21_N29 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[19] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [20]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [19])); - -// Location: LCFF_X20_Y19_N27 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[18] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [19]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [18])); - -// Location: LCFF_X21_Y18_N21 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[17] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [18]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [17])); - -// Location: LCFF_X21_Y18_N15 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[16] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [17]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [16])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [0])); -// Location: LCCOMB_X21_Y18_N6 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[15]~feeder ( +// Location: LCCOMB_X20_Y16_N14 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~2 ( .dataa(vcc), - .datab(vcc), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [1]), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [16]), + .datad(vcc), + .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~1 ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~2_combout ), + .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~3 )); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~2 .lut_mask = 16'h3C3F; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X20_Y16_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~4 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~2_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[15]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~4_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[15]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[15]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~4 .lut_mask = 16'hA010; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X21_Y18_N7 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[15] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[15]~feeder_combout ), +// Location: LCFF_X20_Y16_N3 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[1] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~4_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [15])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [1])); -// Location: LCCOMB_X21_Y18_N4 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[14]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [15]), +// Location: LCCOMB_X20_Y16_N4 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~7 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~5_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[14]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~7_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[14]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[14]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~7 .lut_mask = 16'h8802; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~7 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X21_Y18_N5 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[14] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[14]~feeder_combout ), +// Location: LCFF_X20_Y16_N5 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[2] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~7_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [14])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [2])); -// Location: LCFF_X21_Y18_N29 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[13] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [14]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [13])); +// Location: LCCOMB_X19_Y16_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [3]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [0]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [1]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [2]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~0_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~0 .lut_mask = 16'h0001; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~0 .sum_lutc_input = "datac"; +// synopsys translate_on -// Location: LCCOMB_X21_Y18_N26 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[12]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [13]), +// Location: LCCOMB_X20_Y16_N28 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~19 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~17_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[12]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~19_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[12]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[12]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~19 .lut_mask = 16'h8802; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~19 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X21_Y18_N27 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[12] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[12]~feeder_combout ), +// Location: LCFF_X20_Y16_N29 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[6] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~19_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [12])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [6])); -// Location: LCCOMB_X21_Y18_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[11]~feeder ( +// Location: LCCOMB_X20_Y16_N22 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~14 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [5]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~12 ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~14_combout ), + .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~15 )); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~14 .lut_mask = 16'h5A5F; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~14 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X20_Y16_N26 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~20 ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [12]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [7]), + .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~18 ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~20_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~20 .lut_mask = 16'h0FF0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~20 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X20_Y16_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~22 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~20_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[11]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~22_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[11]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[11]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~22 .lut_mask = 16'h8900; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~22 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X21_Y18_N9 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[11] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[11]~feeder_combout ), +// Location: LCFF_X20_Y16_N31 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[7] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~22_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [11])); - -// Location: LCFF_X21_Y18_N23 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[10] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [11]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [10])); - -// Location: LCFF_X22_Y16_N3 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[9] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [10]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [9])); - -// Location: LCFF_X23_Y19_N25 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[8] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [9]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [8])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [7])); -// Location: LCFF_X23_Y19_N27 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[7] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [8]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [7])); +// Location: LCCOMB_X19_Y16_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~1 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [5]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [6]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [4]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [7]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~1_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~1 .lut_mask = 16'h0001; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~1 .sum_lutc_input = "datac"; +// synopsys translate_on -// Location: LCCOMB_X23_Y19_N18 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[6]~feeder ( +// Location: LCCOMB_X19_Y16_N22 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2 ( .dataa(vcc), .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [7]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~0_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~1_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[6]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[6]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[6]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2 .lut_mask = 16'hF000; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X23_Y19_N19 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[6] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[6]~feeder_combout ), +// Location: LCCOMB_X20_Y16_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~13 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~11_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~13_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~13 .lut_mask = 16'h8802; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~13 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X20_Y16_N1 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~13_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [6])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [4])); -// Location: LCCOMB_X23_Y19_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[5]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [6]), +// Location: LCCOMB_X20_Y16_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~16 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~14_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[5]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~16_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[5]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[5]~feeder .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X23_Y19_N9 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[5] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[5]~feeder_combout ), +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~16 .lut_mask = 16'h8900; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~16 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X20_Y16_N11 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~16_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [5])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [5])); -// Location: LCFF_X23_Y19_N17 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[4] ( +// Location: LCFF_X22_Y17_N21 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[3] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [5]), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [0]), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [4])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs [3])); -// Location: LCFF_X23_Y19_N21 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[3] ( +// Location: LCFF_X22_Y17_N5 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[2] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [4]), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs [3]), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [3])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs [2])); -// Location: LCFF_X23_Y19_N11 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[2] ( +// Location: LCFF_X22_Y17_N7 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[1] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [3]), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs [2]), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [2])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs [1])); -// Location: LCFF_X22_Y19_N3 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[1] ( +// Location: LCCOMB_X22_Y17_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[0]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs [1]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[0]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[0]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[0]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X22_Y17_N17 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[0] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [2]), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[0]~feeder_combout ), + .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [1])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs [0])); -// Location: LCCOMB_X22_Y19_N4 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[0]~feeder ( +// Location: LCCOMB_X18_Y16_N26 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[6]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [1]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs [0]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[0]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[6]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[0]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[0]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[6]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[6]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X22_Y19_N5 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[0] ( +// Location: LCFF_X18_Y16_N27 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[6] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[0]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[6]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -40726,52 +46522,80 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [0])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [6])); -// Location: LCFF_X22_Y19_N23 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[9] ( +// Location: LCCOMB_X18_Y16_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[5]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [6]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[5]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[5]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[5]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X18_Y16_N19 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[5] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs [0]), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[5]~feeder_combout ), + .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [9])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [5])); -// Location: LCFF_X22_Y16_N7 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[8] ( +// Location: LCCOMB_X18_Y16_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[4]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [5]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[4]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[4]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[4]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X18_Y16_N31 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[4] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [9]), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[4]~feeder_combout ), + .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [8])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [4])); -// Location: LCCOMB_X22_Y16_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[7]~feeder ( +// Location: LCCOMB_X18_Y16_N22 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [8]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [4]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[7]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[7]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[7]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X22_Y16_N1 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[7] ( +// Location: LCFF_X18_Y16_N23 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[7]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -40779,26 +46603,26 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [7])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [3])); -// Location: LCCOMB_X22_Y16_N30 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[6]~feeder ( +// Location: LCCOMB_X18_Y16_N28 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[2]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [7]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [3]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[6]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[6]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[6]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[2]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X22_Y16_N31 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[6] ( +// Location: LCFF_X18_Y16_N29 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[2] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[6]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[2]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -40806,26 +46630,26 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [6])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [2])); -// Location: LCCOMB_X22_Y16_N16 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[5]~feeder ( +// Location: LCCOMB_X18_Y16_N24 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [6]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [2]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[5]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[5]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[5]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X22_Y16_N17 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[5] ( +// Location: LCFF_X18_Y16_N25 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[5]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -40833,144 +46657,268 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_c .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [5])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [1])); -// Location: LCFF_X22_Y16_N23 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[4] ( +// Location: LCCOMB_X18_Y16_N4 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~9 ( + .dataa(vcc), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [2]), + .datac(vcc), + .datad(vcc), + .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~8 ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~9_combout ), + .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~10 )); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~9 .lut_mask = 16'hC33F; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~9 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X18_Y16_N6 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~11 ( + .dataa(vcc), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [3]), + .datac(vcc), + .datad(vcc), + .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~10 ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~11_combout ), + .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~12 )); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~11 .lut_mask = 16'h3C0C; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~11 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X18_Y16_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~13 ( + .dataa(vcc), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [4]), + .datac(vcc), + .datad(vcc), + .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~12 ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~13_combout ), + .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~14 )); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~13 .lut_mask = 16'hC33F; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~13 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X16_Y17_N11 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][1] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [5]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sdata(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [1]), + .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), .sclr(gnd), .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [4])); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][1]~regout )); -// Location: LCCOMB_X22_Y16_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[3]~feeder ( +// Location: LCFF_X20_Y17_N23 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run ( + .clk(\clk~clkctrl_outclk ), + .datain(gnd), + .sdata(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][1]~regout ), + .aclr(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run~regout )); + +// Location: LCCOMB_X20_Y17_N24 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4 ( .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [4]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [3]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[3]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[3]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[3]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4 .lut_mask = 16'hC000; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X22_Y16_N13 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[3] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[3]~feeder_combout ), +// Location: LCFF_X18_Y16_N9 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~13_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [3])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [4])); -// Location: LCCOMB_X22_Y16_N26 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[2]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [3]), +// Location: LCCOMB_X19_Y16_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~2 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [5]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [4]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [5]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [4]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[2]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~2_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[2]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[2]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~2 .lut_mask = 16'h1248; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X22_Y16_N27 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[2] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[2]~feeder_combout ), +// Location: LCFF_X18_Y16_N13 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6]~17_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [2])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [6])); -// Location: LCCOMB_X22_Y16_N14 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[1]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [2]), +// Location: LCCOMB_X19_Y16_N28 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~3 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [7]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [6]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [6]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [7]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[1]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~3_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[1]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[1]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~3 .lut_mask = 16'h1428; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X22_Y16_N15 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[1] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[1]~feeder_combout ), +// Location: LCFF_X18_Y16_N7 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~11_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [1])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [3])); -// Location: LCFF_X22_Y16_N5 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[0] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [1]), +// Location: LCFF_X18_Y16_N5 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~9_combout ), + .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [0])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [2])); -// Location: LCCOMB_X22_Y16_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~regout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [0]), - .datad(vcc), +// Location: LCCOMB_X19_Y16_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~1 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [3]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [3]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [2]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [2]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0 .lut_mask = 16'h2323; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~1 .lut_mask = 16'h0660; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y16_N28 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4 ( +// Location: LCCOMB_X19_Y16_N6 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~0_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~2_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~3_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~1_combout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4 .lut_mask = 16'h8000; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X20_Y17_N4 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~2 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~2_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~2 .lut_mask = 16'hCDCC; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X19_Y16_N12 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0 ( .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [3]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~1_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~0_combout ), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4 .lut_mask = 16'hC000; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0 .lut_mask = 16'h3F00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X20_Y15_N4 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~8_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0_combout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4 .lut_mask = 16'h2030; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X20_Y15_N15 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4_combout ), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[4]~regout )); + +// Location: LCCOMB_X19_Y15_N24 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~feeder .lut_mask = 16'hFFFF; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y15_N1 +// Location: LCFF_X19_Y15_N25 cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set ( .clk(\clk~clkctrl_outclk ), .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~feeder_combout ), @@ -40983,728 +46931,696 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_b .devpor(devpor), .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout )); -// Location: LCCOMB_X20_Y14_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~0_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), +// Location: LCCOMB_X20_Y15_N28 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~0_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter~1_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter~1 .lut_mask = 16'hB0B2; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter~1 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~0 .lut_mask = 16'h2022; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y16_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data ( +// Location: LCFF_X20_Y15_N29 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[0] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~0_combout ), + .sdata(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[0]~regout )); + +// Location: LCCOMB_X20_Y15_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~2 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[1]~regout ), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~1 ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~2_combout ), + .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~3 )); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~2 .lut_mask = 16'h5A5F; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~2 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X20_Y15_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~4 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[2]~regout ), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~3 ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~4_combout ), + .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~5 )); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~4 .lut_mask = 16'hA50A; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~4 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X20_Y15_N12 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~6 ( .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [3]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[3]~regout ), + .datac(vcc), .datad(vcc), + .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~5 ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~6_combout ), + .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~7 )); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~6 .lut_mask = 16'h3C3F; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~6 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X19_Y15_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~3 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~6_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~3_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data .lut_mask = 16'hC0C0; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~3 .lut_mask = 16'h4050; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y14_N1 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0] ( +// Location: LCFF_X20_Y15_N13 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter~1_combout ), - .sdata(gnd), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~3_combout ), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [0])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[3]~regout )); -// Location: LCFF_X22_Y16_N11 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[3] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), +// Location: LCCOMB_X20_Y15_N14 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~8 ( + .dataa(vcc), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[4]~regout ), + .datac(vcc), + .datad(vcc), + .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~7 ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~8_combout ), + .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~9 )); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~8 .lut_mask = 16'hC30C; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~8 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X20_Y15_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~0_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~4_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~2_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~6_combout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~0_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~0 .lut_mask = 16'h8000; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X20_Y15_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~6 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~12_combout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~6_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~6 .lut_mask = 16'h3100; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X20_Y15_N19 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[6] ( + .clk(\clk~clkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [0]), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~6_combout ), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs [3])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[6]~regout )); -// Location: LCCOMB_X22_Y16_N18 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[2]~feeder ( +// Location: LCCOMB_X20_Y15_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~10 ( .dataa(vcc), - .datab(vcc), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[5]~regout ), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs [3]), + .datad(vcc), + .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~9 ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~10_combout ), + .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~11 )); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~10 .lut_mask = 16'h3C3F; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~10 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X20_Y15_N22 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~5 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~10_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[2]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~5_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[2]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[2]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~5 .lut_mask = 16'h2030; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X22_Y16_N19 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[2] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[2]~feeder_combout ), +// Location: LCFF_X20_Y15_N23 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~5_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs [2])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[5]~regout )); -// Location: LCCOMB_X22_Y16_N28 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[1]~feeder ( +// Location: LCCOMB_X20_Y15_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~12 ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs [2]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[1]~feeder_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[6]~regout ), + .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~11 ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~12_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[1]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[1]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~12 .lut_mask = 16'hF00F; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~12 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCFF_X22_Y16_N29 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[1] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[1]~feeder_combout ), - .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs [1])); +// Location: LCCOMB_X20_Y15_N24 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~1 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~10_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~8_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~0_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~12_combout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~1_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~1 .lut_mask = 16'h8000; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~1 .sum_lutc_input = "datac"; +// synopsys translate_on -// Location: LCCOMB_X22_Y16_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[0]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs [1]), +// Location: LCCOMB_X20_Y17_N6 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~3 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~2_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:is_buffer_wrapped~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~1_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[0]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~3_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[0]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[0]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~3 .lut_mask = 16'hB3B0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X22_Y16_N25 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[0] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[0]~feeder_combout ), +// Location: LCFF_X20_Y17_N7 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:is_buffer_wrapped ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~3_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs [0])); - -// Location: LCFF_X18_Y14_N1 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[6] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs [0]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [6])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:is_buffer_wrapped~regout )); -// Location: LCCOMB_X18_Y14_N14 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[5]~feeder ( +// Location: LCCOMB_X20_Y17_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [6]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:is_buffer_wrapped~regout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[5]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[5]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[5]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y14_N15 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[5] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[5]~feeder_combout ), +// Location: LCFF_X20_Y17_N9 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~feeder_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [5])); - -// Location: LCFF_X18_Y14_N29 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[4] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [5]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [4])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~regout )); -// Location: LCCOMB_X18_Y14_N18 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3]~feeder ( +// Location: LCCOMB_X18_Y13_N26 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [4]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~regout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y14_N19 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3]~feeder_combout ), +// Location: LCCOMB_X20_Y17_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0 .lut_mask = 16'hCDCC; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X20_Y17_N1 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:segment_shift_var ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [3])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:segment_shift_var~regout )); -// Location: LCCOMB_X18_Y14_N16 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[2]~feeder ( +// Location: LCCOMB_X16_Y17_N12 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [3]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [2]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[2]~feeder_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[2]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[2]~feeder .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2]~feeder .lut_mask = 16'hFF00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y14_N17 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[2] ( +// Location: LCFF_X16_Y17_N13 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[2]~feeder_combout ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2]~feeder_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [2])); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2]~regout )); -// Location: LCCOMB_X19_Y14_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [2]), +// Location: LCCOMB_X20_Y15_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|base_address~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:base_address[0]~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|base_address~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|base_address~0 .lut_mask = 16'hA5B4; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|base_address~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y14_N25 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1]~feeder_combout ), +// Location: LCFF_X20_Y15_N1 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:base_address[0] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|base_address~0_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [1])); - -// Location: LCFF_X19_Y14_N5 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[0] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [1]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [0])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:base_address[0]~regout )); -// Location: LCFF_X19_Y14_N3 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[0] ( +// Location: LCFF_X21_Y15_N19 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|current_segment_delayed[0] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [0]), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:base_address[0]~regout ), + .aclr(gnd), .sclr(gnd), .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [0])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|current_segment_delayed [0])); -// Location: LCCOMB_X19_Y14_N6 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~7 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [1]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [0]), - .datac(vcc), - .datad(vcc), +// Location: LCCOMB_X21_Y15_N28 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:segment_shift_var~regout ), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2]~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|current_segment_delayed [0]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~7_combout ), - .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~8 )); + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ), + .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~7 .lut_mask = 16'h9988; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~7 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1 .lut_mask = 16'h00A8; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y14_N7 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1] ( +// Location: LCFF_X18_Y13_N27 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~7_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0]~feeder_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [1])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0]~regout )); -// Location: LCCOMB_X19_Y14_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [1]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [0]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [0]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [1]), +// Location: LCCOMB_X21_Y17_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0 ( + .dataa(vcc), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|safe_q [0]), + .datac(vcc), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~0_combout ), - .cout()); + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~combout ), + .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~COUT )); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~0 .lut_mask = 16'h1428; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~0 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0 .lut_mask = 16'h33CC; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X20_Y14_N22 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~22 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~20_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), +// Location: LCCOMB_X40_Y17_N8 +cycloneii_lcell_comb \auto_signaltap_0|~GND ( +// Equation(s): +// \auto_signaltap_0|~GND~combout = GND + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~22_combout ), + .combout(\auto_signaltap_0|~GND~combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~22 .lut_mask = 16'h8082; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~22 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|~GND .lut_mask = 16'h0000; +defparam \auto_signaltap_0|~GND .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y14_N23 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[7] ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~22_combout ), - .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [7])); - -// Location: LCCOMB_X19_Y14_N14 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~15 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [5]), +// Location: LCCOMB_X21_Y17_N4 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~0 ( + .dataa(vcc), .datab(vcc), .datac(vcc), .datad(vcc), - .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~14 ), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~15_combout ), - .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~16 )); + .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~COUT ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~0_combout ), + .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~15 .lut_mask = 16'h5A0A; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~15 .sum_lutc_input = "cin"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~0 .lut_mask = 16'hF0F0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~0 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCFF_X19_Y14_N17 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6] ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6]~17_combout ), - .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [6])); - -// Location: LCCOMB_X19_Y14_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~3 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [7]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [7]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [6]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [6]), +// Location: LCCOMB_X21_Y17_N6 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit1a[0]~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~7_combout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~0_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|safe_q [0]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~3_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit1a[0]~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~3 .lut_mask = 16'h0660; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~3 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit1a[0]~0 .lut_mask = 16'hF7FF; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit1a[0]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y14_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~13 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~11_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ), +// Location: LCCOMB_X20_Y14_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0 ( + .dataa(vcc), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [0]), + .datac(vcc), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~13_combout ), - .cout()); + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0~combout ), + .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0~COUT )); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~13 .lut_mask = 16'hA002; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~13 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0 .lut_mask = 16'h33CC; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCFF_X20_Y14_N25 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[4] ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~13_combout ), - .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [4])); - -// Location: LCFF_X19_Y14_N15 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5] ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~15_combout ), - .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [5])); - -// Location: LCCOMB_X19_Y14_N28 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~2 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [4]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [4]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [5]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [5]), +// Location: LCCOMB_X20_Y14_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [2]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [3]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [1]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [0]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~2_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~2 .lut_mask = 16'h0660; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~2 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0 .lut_mask = 16'h0080; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X19_Y14_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~1_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~0_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~3_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~2_combout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), - .cout()); +// Location: LCCOMB_X20_Y14_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3 ( + .dataa(vcc), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [3]), + .datac(vcc), + .datad(vcc), + .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2~COUT ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~combout ), + .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~COUT )); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4 .lut_mask = 16'h8000; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3 .lut_mask = 16'h3C3F; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X20_Y14_N30 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~10 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~8_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~10_combout ), +// Location: LCCOMB_X20_Y14_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~0 ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~COUT ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~10 .lut_mask = 16'h8082; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~10 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~0 .lut_mask = 16'h0F0F; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~0 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCFF_X20_Y14_N31 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~10_combout ), - .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [3])); - -// Location: LCCOMB_X20_Y14_N4 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~7 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~5_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), +// Location: LCCOMB_X20_Y14_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[3]~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), + .datab(vcc), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~7_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[3]~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~7 .lut_mask = 16'h8082; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~7 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[3]~0 .lut_mask = 16'hFFF5; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[3]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y14_N5 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[2] ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~7_combout ), - .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), +// Location: LCFF_X20_Y14_N3 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[0] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0~combout ), + .sdata(\auto_signaltap_0|~GND~combout ), + .aclr(gnd), .sclr(gnd), - .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), + .sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[3]~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [2])); - -// Location: LCCOMB_X19_Y14_N30 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [1]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [3]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [0]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [2]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~0 .lut_mask = 16'h0001; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~0 .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [0])); -// Location: LCCOMB_X21_Y14_N18 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2 ( +// Location: LCCOMB_X20_Y14_N4 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1 ( .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~1_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~0_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [1]), + .datac(vcc), .datad(vcc), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ), - .cout()); + .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0~COUT ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1~combout ), + .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1~COUT )); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2 .lut_mask = 16'hC0C0; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1 .lut_mask = 16'h3C3F; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X20_Y14_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~16 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~14_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~16_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~16 .lut_mask = 16'h8082; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~16 .sum_lutc_input = "datac"; -// synopsys translate_on +// Location: LCFF_X20_Y14_N5 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[1] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1~combout ), + .sdata(\auto_signaltap_0|~GND~combout ), + .aclr(gnd), + .sclr(gnd), + .sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[3]~0_combout ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [1])); -// Location: LCFF_X20_Y14_N3 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[5] ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~16_combout ), - .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), +// Location: LCFF_X20_Y14_N9 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[3] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~combout ), + .sdata(\auto_signaltap_0|~GND~combout ), + .aclr(gnd), .sclr(gnd), - .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), + .sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[3]~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [5])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [3])); -// Location: LCCOMB_X20_Y14_N26 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~4 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~2_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ), +// Location: LCCOMB_X20_Y14_N22 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [2]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [3]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [1]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|safe_q [0]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~4_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~4 .lut_mask = 16'hA002; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~4 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~0 .lut_mask = 16'h4000; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y14_N27 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[1] ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~4_combout ), - .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), +// Location: LCFF_X21_Y17_N3 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit1a[0] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~combout ), + .sdata(\auto_signaltap_0|~GND~combout ), + .aclr(gnd), .sclr(gnd), - .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), + .sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit1a[0]~0_combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [1])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|safe_q [0])); -// Location: LCCOMB_X20_Y14_N28 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~19 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~17_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ), +// Location: LCCOMB_X21_Y17_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr[0]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|safe_q [0]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~19_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~19 .lut_mask = 16'hC004; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~19 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr[0]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y14_N29 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[6] ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~19_combout ), +// Location: LCFF_X21_Y17_N17 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr[0] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr[0]~feeder_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~regout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [6])); - -// Location: LCCOMB_X19_Y14_N22 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [5]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [6]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [7]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [4]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~1 .lut_mask = 16'h0001; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~1 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X21_Y14_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~1_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~0_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0 .lut_mask = 16'h3F00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0 .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0])); -// Location: LCCOMB_X20_Y15_N18 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~0_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0_combout ), +// Location: LCCOMB_X19_Y13_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[0]~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0]~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0]~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~0_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[0]~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~0 .lut_mask = 16'h4044; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~0 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[0]~0 .lut_mask = 16'hACAC; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[0]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y15_N19 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[0] ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~0_combout ), +// Location: LCFF_X19_Y13_N3 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[0] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[0]~0_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~regout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[0]~regout )); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [0])); -// Location: LCCOMB_X20_Y15_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~2 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[1]~regout ), +// Location: LCCOMB_X19_Y15_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0]~feeder ( + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(vcc), - .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~1 ), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~2_combout ), - .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~3 )); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~2 .lut_mask = 16'h5A5F; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~2 .sum_lutc_input = "cin"; -// synopsys translate_on - -// Location: LCCOMB_X20_Y15_N26 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~2_combout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~1_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~1 .lut_mask = 16'h4044; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~1 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y15_N27 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[1] ( +// Location: LCFF_X19_Y15_N21 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~1_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -41712,26 +47628,26 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_b .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[1]~regout )); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0]~regout )); -// Location: LCCOMB_X18_Y15_N10 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[1]~feeder ( +// Location: LCCOMB_X16_Y15_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[0]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[1]~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0]~regout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[1]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[0]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[1]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[1]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[0]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[0]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y15_N11 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[1] ( +// Location: LCFF_X16_Y15_N17 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[0] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[1]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[0]~feeder_combout ), .sdata(gnd), .aclr(gnd), .sclr(gnd), @@ -41739,131 +47655,145 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_ .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [1])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [0])); -// Location: LCCOMB_X19_Y17_N10 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~feeder ( +// Location: LCCOMB_X18_Y13_N22 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][1]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [1]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~feeder_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~feeder .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X20_Y15_N22 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|base_address~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:base_address[0]~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [0]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|base_address~0_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][1]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|base_address~0 .lut_mask = 16'hC3D2; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|base_address~0 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][1]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][1]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y15_N23 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:base_address[0] ( +// Location: LCFF_X18_Y13_N23 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][1] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|base_address~0_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][1]~feeder_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:base_address[0]~regout )); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][1]~regout )); -// Location: LCFF_X20_Y18_N27 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|current_segment_delayed[0] ( - .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:base_address[0]~regout ), +// Location: LCCOMB_X19_Y13_N14 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[1]~1 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][1]~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][1]~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]), + .datad(vcc), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[1]~1_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[1]~1 .lut_mask = 16'hACAC; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[1]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X19_Y13_N15 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[1] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[1]~1_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), - .ena(vcc), + .sload(gnd), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~regout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|current_segment_delayed [0])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [1])); -// Location: LCCOMB_X20_Y16_N6 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ), +// Location: LCCOMB_X18_Y15_N12 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~2 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~4_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~2_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0 .lut_mask = 16'hF1F0; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~2 .lut_mask = 16'h3010; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y16_N7 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:segment_shift_var ( +// Location: LCCOMB_X19_Y15_N22 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~2_combout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X19_Y15_N23 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:segment_shift_var~regout )); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2]~regout )); -// Location: LCCOMB_X20_Y18_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~regout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2]~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|current_segment_delayed [0]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:segment_shift_var~regout ), +// Location: LCCOMB_X18_Y14_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[2]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2]~regout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1 .lut_mask = 16'h0A08; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[2]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y17_N11 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2] ( +// Location: LCFF_X18_Y14_N19 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[2] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[2]~feeder_combout ), .sdata(gnd), .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~regout )); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [2])); -// Location: LCCOMB_X19_Y17_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~feeder ( +// Location: LCCOMB_X18_Y13_N28 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [1]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [2]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y18_N26 +// Location: LCCOMB_X21_Y15_N18 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~regout ), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:segment_shift_var~regout ), @@ -41877,10 +47807,10 @@ defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ra defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y17_N25 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2] ( +// Location: LCFF_X18_Y13_N29 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~feeder_combout ), .sdata(gnd), .aclr(gnd), .sclr(gnd), @@ -41888,26 +47818,26 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~regout )); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~regout )); -// Location: LCCOMB_X18_Y17_N4 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[2]~2 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~regout ), +// Location: LCCOMB_X19_Y13_N22 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[3]~3 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[2]~2_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[3]~3_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[2]~2 .lut_mask = 16'hFC30; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[3]~3 .lut_mask = 16'hCACA; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[3]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y17_N5 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[2] ( +// Location: LCFF_X19_Y13_N23 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[3] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[2]~2_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[3]~3_combout ), .sdata(gnd), .aclr(gnd), .sclr(gnd), @@ -41915,94 +47845,26 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~regout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [2])); - -// Location: LCFF_X20_Y15_N7 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~3_combout ), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[3]~regout )); - -// Location: LCCOMB_X20_Y15_N4 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~4 ( - .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[2]~regout ), - .datac(vcc), - .datad(vcc), - .cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~3 ), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~4_combout ), - .cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~5 )); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~4 .lut_mask = 16'hC30C; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~4 .sum_lutc_input = "cin"; -// synopsys translate_on - -// Location: LCCOMB_X21_Y15_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~2 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~4_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~2_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~2 .lut_mask = 16'h00D0; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~2 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X20_Y15_N5 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[2] ( - .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~2_combout ), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), - .sclr(gnd), - .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[2]~regout )); - -// Location: LCCOMB_X21_Y15_N14 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~3 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~6_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~3_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~3 .lut_mask = 16'h00D0; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~3 .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [3])); -// Location: LCCOMB_X19_Y15_N12 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3]~feeder ( +// Location: LCCOMB_X18_Y16_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[5]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~3_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~5_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[5]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[5]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[5]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y15_N13 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3] ( +// Location: LCFF_X18_Y16_N1 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[5] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[5]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -42010,79 +47872,52 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_b .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3]~regout )); - -// Location: LCCOMB_X19_Y15_N26 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[3]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3]~regout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[3]~feeder_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[3]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[3]~feeder .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCFF_X19_Y15_N27 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[3] ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[3]~feeder_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [3])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[5]~regout )); -// Location: LCFF_X19_Y17_N1 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4] ( +// Location: LCFF_X18_Y14_N9 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[5] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [3]), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[5]~regout ), .aclr(gnd), .sclr(gnd), .sload(vcc), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4]~regout )); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [5])); -// Location: LCFF_X19_Y17_N31 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4] ( +// Location: LCFF_X18_Y13_N1 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][6] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [3]), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [5]), .aclr(gnd), .sclr(gnd), .sload(vcc), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4]~regout )); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][6]~regout )); -// Location: LCCOMB_X18_Y17_N26 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[4]~4 ( - .dataa(vcc), +// Location: LCCOMB_X19_Y13_N26 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[6]~6 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][6]~regout ), .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4]~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4]~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][6]~regout ), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[4]~4_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[6]~6_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[4]~4 .lut_mask = 16'hFC30; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[4]~4 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[6]~6 .lut_mask = 16'hE2E2; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[6]~6 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y17_N27 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[4] ( +// Location: LCFF_X19_Y13_N27 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[6] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[4]~4_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[6]~6_combout ), .sdata(gnd), .aclr(gnd), .sclr(gnd), @@ -42090,177 +47925,160 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~regout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [4])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [6])); -// Location: LCCOMB_X12_Y15_N28 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~0 ( - .dataa(vcc), - .datab(vcc), - .datac(\altera_internal_jtag~TMSUTAP ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), +// Location: LCCOMB_X18_Y17_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena[1]~reg0_regout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~regout ), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~0_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~0 .lut_mask = 16'hF000; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~0 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0 .lut_mask = 16'h2000; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y15_N18 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~2 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~1_combout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~0_combout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [1]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg [0]), +// Location: LCCOMB_X19_Y13_N0 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~6 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [7]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [6]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~2_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~6_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~2 .lut_mask = 16'h0080; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~2 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~6 .lut_mask = 16'hCCAC; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~6 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X13_Y15_N19 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_dr_scan_reg ( +// Location: LCFF_X19_Y13_N1 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[6] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~2_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~6_combout ), .sdata(gnd), - .aclr(!\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl_outclk ), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_dr_scan_proc~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_dr_scan_reg~regout )); - -// Location: LCCOMB_X12_Y15_N14 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~2 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~0_combout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [15]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_dr_scan_reg~regout ), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~2_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~2 .lut_mask = 16'hB8A8; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~2 .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [6])); -// Location: LCCOMB_X15_Y14_N28 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~4 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [1]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg [1]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [0]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [2]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~4_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~4 .lut_mask = 16'h4C18; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~4 .sum_lutc_input = "datac"; -// synopsys translate_on +// Location: LCFF_X20_Y15_N5 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4_combout ), + .sdata(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[4]~regout )); -// Location: LCCOMB_X15_Y14_N8 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~2 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~4_combout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg [1]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg_proc~0_combout ), +// Location: LCCOMB_X18_Y14_N6 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[4]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[4]~regout ), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~2_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[4]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~2 .lut_mask = 16'hB4F0; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~2 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[4]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X15_Y14_N9 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~2_combout ), +// Location: LCFF_X18_Y14_N7 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[4]~feeder_combout ), .sdata(gnd), - .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg [1])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [4])); -// Location: LCCOMB_X12_Y15_N2 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~1 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~0_combout ), - .datab(\altera_internal_jtag~TMSUTAP ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [2]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg [1]), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~1_combout ), - .cout()); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~1 .lut_mask = 16'h002A; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~1 .sum_lutc_input = "datac"; -// synopsys translate_on +// Location: LCFF_X18_Y13_N7 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5] ( + .clk(\clk~clkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [4]), + .aclr(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5]~regout )); -// Location: LCCOMB_X12_Y15_N22 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~3 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~1_combout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~2_combout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena[1]~reg0_regout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~1_combout ), +// Location: LCFF_X18_Y13_N5 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5] ( + .clk(\clk~clkctrl_outclk ), + .datain(gnd), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [4]), + .aclr(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5]~regout )); + +// Location: LCCOMB_X19_Y13_N30 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[5]~5 ( + .dataa(vcc), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5]~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5]~regout ), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~3_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[5]~5_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~3 .lut_mask = 16'hDC10; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~3 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[5]~5 .lut_mask = 16'hFC0C; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[5]~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X12_Y15_N23 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena[1]~reg0 ( +// Location: LCFF_X19_Y13_N31 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[5] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~3_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[5]~5_combout ), .sdata(gnd), - .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~regout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena[1]~reg0_regout )); - -// Location: LCCOMB_X18_Y17_N6 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena[1]~reg0_regout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~regout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0 .lut_mask = 16'h4000; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0 .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [5])); -// Location: LCCOMB_X18_Y17_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~4 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [5]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [4]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), +// Location: LCCOMB_X19_Y13_N20 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~5 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [6]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [5]), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~4_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~5_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~4 .lut_mask = 16'hCCAC; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~4 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~5 .lut_mask = 16'hF0D8; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y17_N9 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[4] ( +// Location: LCFF_X19_Y13_N21 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[5] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~4_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~5_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -42268,26 +48086,26 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [4])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [5])); -// Location: LCCOMB_X19_Y15_N24 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2]~feeder ( +// Location: LCCOMB_X19_Y15_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~2_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~3_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y15_N25 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2] ( +// Location: LCFF_X19_Y15_N17 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3]~feeder_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -42295,93 +48113,107 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_b .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2]~regout )); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3]~regout )); -// Location: LCFF_X19_Y15_N15 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[2] ( +// Location: LCCOMB_X18_Y14_N12 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[3]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3]~regout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[3]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[3]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[3]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X18_Y14_N13 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[3] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2]~regout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[3]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [2])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [3])); -// Location: LCCOMB_X19_Y17_N28 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~feeder ( +// Location: LCCOMB_X18_Y13_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [2]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [3]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y17_N29 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3] ( +// Location: LCFF_X18_Y13_N19 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4]~feeder_combout ), .sdata(gnd), .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~regout )); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4]~regout )); -// Location: LCCOMB_X19_Y18_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~feeder ( +// Location: LCCOMB_X18_Y13_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4]~feeder ( .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [2]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [3]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y18_N3 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3] ( +// Location: LCFF_X18_Y13_N17 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4]~feeder_combout ), .sdata(gnd), .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~regout )); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4]~regout )); -// Location: LCCOMB_X19_Y18_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[3]~3 ( +// Location: LCCOMB_X19_Y13_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[4]~4 ( .dataa(vcc), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4]~regout ), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4]~regout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[3]~3_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[4]~4_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[3]~3 .lut_mask = 16'hCFC0; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[3]~3 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[4]~4 .lut_mask = 16'hFC0C; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[4]~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y18_N9 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[3] ( +// Location: LCFF_X19_Y13_N11 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[4] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[3]~3_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[4]~4_combout ), .sdata(gnd), .aclr(gnd), .sclr(gnd), @@ -42389,26 +48221,26 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~regout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [3])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [4])); -// Location: LCCOMB_X18_Y17_N14 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~3 ( +// Location: LCCOMB_X19_Y13_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~4 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [4]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [3]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [5]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [4]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~3_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~4_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~3 .lut_mask = 16'hF0D8; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~3 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~4 .lut_mask = 16'hFD20; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y17_N15 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[3] ( +// Location: LCFF_X19_Y13_N17 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[4] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~3_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~4_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -42416,26 +48248,26 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [3])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [4])); -// Location: LCCOMB_X18_Y17_N2 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~2 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [2]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [3]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), +// Location: LCCOMB_X19_Y13_N28 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~3 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [3]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [4]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~2_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~3_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~2 .lut_mask = 16'hD8CC; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~2 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~3 .lut_mask = 16'hCCE4; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y17_N3 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[2] ( +// Location: LCFF_X19_Y13_N29 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[3] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~2_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~3_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -42443,26 +48275,26 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [2])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [3])); -// Location: LCCOMB_X19_Y15_N20 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~0_combout ), +// Location: LCCOMB_X20_Y15_N26 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~1 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~2_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~1 .lut_mask = 16'h2030; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y15_N21 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0] ( +// Location: LCFF_X20_Y15_N27 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[1] ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~1_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -42470,52 +48302,66 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_b .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0]~regout )); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[1]~regout )); -// Location: LCFF_X19_Y15_N3 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[0] ( +// Location: LCFF_X19_Y14_N17 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[1] ( .clk(\clk~clkctrl_outclk ), .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0]~regout ), + .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[1]~regout ), .aclr(gnd), .sclr(gnd), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [0])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [1])); -// Location: LCFF_X19_Y17_N5 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][1] ( +// Location: LCCOMB_X18_Y13_N24 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~feeder ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [1]), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~feeder .lut_mask = 16'hFF00; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X18_Y13_N25 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2] ( .clk(\clk~clkctrl_outclk ), - .datain(gnd), - .sdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [0]), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~feeder_combout ), + .sdata(gnd), .aclr(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][1]~regout )); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~regout )); -// Location: LCCOMB_X18_Y17_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[1]~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][1]~regout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][1]~regout ), +// Location: LCCOMB_X19_Y13_N18 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[2]~2 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]), + .datad(vcc), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[1]~1_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[2]~2_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[1]~1 .lut_mask = 16'hEE22; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[1]~1 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[2]~2 .lut_mask = 16'hCACA; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[2]~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y17_N1 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[1] ( +// Location: LCFF_X19_Y13_N19 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[2] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[1]~1_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[2]~2_combout ), .sdata(gnd), .aclr(gnd), .sclr(gnd), @@ -42523,26 +48369,26 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~regout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [1])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [2])); -// Location: LCCOMB_X18_Y17_N28 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~1 ( +// Location: LCCOMB_X19_Y13_N24 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~2 ( .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [2]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [1]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [3]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [2]), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~1_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~2_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~1 .lut_mask = 16'hF0D8; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~1 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~2 .lut_mask = 16'hF0D8; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~2 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y17_N29 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[1] ( +// Location: LCFF_X19_Y13_N25 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[2] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~1_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~2_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -42550,148 +48396,162 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [1])); - -// Location: LCCOMB_X20_Y16_N14 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~2 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~2_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~2 .lut_mask = 16'hF1F0; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~2 .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [2])); -// Location: LCCOMB_X20_Y16_N26 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~3 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~1_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:is_buffer_wrapped~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~2_combout ), +// Location: LCCOMB_X19_Y13_N4 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~1 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [1]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [2]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~3_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~3 .lut_mask = 16'hC0FA; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~3 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~1 .lut_mask = 16'hCCE4; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y16_N27 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:is_buffer_wrapped ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~3_combout ), +// Location: LCFF_X19_Y13_N5 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[1] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~1_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:is_buffer_wrapped~regout )); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [1])); -// Location: LCCOMB_X20_Y16_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:is_buffer_wrapped~regout ), +// Location: LCCOMB_X19_Y13_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [0]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [1]), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~0 .lut_mask = 16'hCCE4; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X20_Y16_N1 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~feeder_combout ), +// Location: LCFF_X19_Y13_N9 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[0] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~0_combout ), .sdata(gnd), - .aclr(gnd), + .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~regout )); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [0])); -// Location: LCCOMB_X19_Y17_N8 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0]~feeder ( - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~regout ), +// Location: LCCOMB_X19_Y17_N16 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [0]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4]~regout ), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [0]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~0 .lut_mask = 16'hFB0B; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X19_Y17_N9 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0] ( - .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0]~feeder_combout ), +// Location: LCCOMB_X15_Y17_N28 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~2 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~4_combout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg_proc~0_combout ), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg [1]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8]), + .cin(gnd), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~2_combout ), + .cout()); +// synopsys translate_off +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~2 .lut_mask = 16'hF078; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X15_Y17_N29 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~2_combout ), .sdata(gnd), - .aclr(gnd), + .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0]~regout )); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg [1])); -// Location: LCCOMB_X18_Y17_N18 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[0]~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0]~regout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0]~regout ), - .datad(vcc), +// Location: LCCOMB_X11_Y17_N10 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~1 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~0_combout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [2]), + .datac(\altera_internal_jtag~TMSUTAP ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg [1]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[0]~0_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[0]~0 .lut_mask = 16'hE2E2; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[0]~0 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~1 .lut_mask = 16'h002A; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y17_N19 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[0] ( +// Location: LCCOMB_X11_Y17_N22 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~3 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~2_combout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~1_combout ), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena[1]~reg0_regout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~1_combout ), + .cin(gnd), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~3_combout ), + .cout()); +// synopsys translate_off +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~3 .lut_mask = 16'hBA10; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X11_Y17_N23 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena[1]~reg0 ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[0]~0_combout ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~3_combout ), .sdata(gnd), - .aclr(gnd), + .aclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~regout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [0])); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena[1]~reg0_regout )); -// Location: LCCOMB_X18_Y17_N16 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [1]), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [0]), +// Location: LCCOMB_X18_Y17_N8 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~0 ( + .dataa(\altera_internal_jtag~TDIUTAP ), + .datab(vcc), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~regout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena[1]~reg0_regout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~0_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~0 .lut_mask = 16'hEF40; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~0 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~0 .lut_mask = 16'hAAF0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X18_Y17_N17 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[0] ( +// Location: LCFF_X18_Y17_N9 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~0_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~0_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), @@ -42699,626 +48559,708 @@ cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_n .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [0])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~regout )); -// Location: LCCOMB_X15_Y15_N12 +// Location: LCCOMB_X18_Y17_N4 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0 ( - .dataa(vcc), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena[1]~reg0_regout ), - .datad(vcc), + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), + .datab(vcc), + .datac(vcc), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena[1]~reg0_regout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0 .lut_mask = 16'h3030; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0 .lut_mask = 16'h5500; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X21_Y15_N6 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~feeder ( - .dataa(vcc), +// Location: LCCOMB_X18_Y17_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), .datab(vcc), .datac(vcc), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~0_combout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~feeder_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~feeder .lut_mask = 16'hFF00; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~feeder .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable .lut_mask = 16'hFFAA; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X20_Y16_N22 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done~regout ), +// Location: LCCOMB_X18_Y17_N6 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][6]~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][1]~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~combout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0 .lut_mask = 16'hC800; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X20_Y17_N28 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), .datab(vcc), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run~regout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [3]), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0 .lut_mask = 16'h5000; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~0 .lut_mask = 16'hFAF0; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X21_Y15_N7 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0] ( +// Location: LCFF_X20_Y17_N29 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done ( .clk(\clk~clkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~feeder_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~0_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0_combout ), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [0])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done~regout )); -// Location: LCCOMB_X21_Y15_N26 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~1 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [2]), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [0]), +// Location: LCCOMB_X20_Y17_N10 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|collecting_post_data_var~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4_combout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done~regout ), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~1_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|collecting_post_data_var~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~1 .lut_mask = 16'hBF80; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~1 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|collecting_post_data_var~0 .lut_mask = 16'h0007; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|collecting_post_data_var~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X21_Y15_N27 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[1] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~1_combout ), +// Location: LCFF_X20_Y17_N11 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:collecting_post_data_var ( + .clk(\clk~clkctrl_outclk ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|collecting_post_data_var~0_combout ), .sdata(gnd), .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [1])); + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:collecting_post_data_var~regout )); -// Location: LCCOMB_X21_Y15_N0 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~0 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~regout ), - .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [1]), +// Location: LCCOMB_X18_Y17_N28 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][6]~regout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][1]~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0_combout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~0_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~0 .lut_mask = 16'hEA2A; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~0 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0 .lut_mask = 16'hE000; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X21_Y15_N1 -cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[0] ( +// Location: LCCOMB_X19_Y17_N2 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~0 ( + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [1]), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:collecting_post_data_var~regout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0_combout ), + .cin(gnd), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~0_combout ), + .cout()); +// synopsys translate_off +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~0 .lut_mask = 16'hC0AA; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X19_Y17_N3 +cycloneii_lcell_ff \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[0] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~0_combout ), + .datain(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~0_combout ), .sdata(gnd), - .aclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ), + .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [0])); - -// Location: LCCOMB_X18_Y16_N16 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~0 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4]~regout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [0]), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [0]), - .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~0_combout ), - .cout()); -// synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~0 .lut_mask = 16'hF3D1; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~0 .sum_lutc_input = "datac"; -// synopsys translate_on + .regout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [0])); -// Location: LCCOMB_X19_Y14_N4 -cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~3 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~2_combout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][3]~regout ), - .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [0]), - .datad(vcc), +// Location: LCCOMB_X19_Y17_N28 +cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~1 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5]~regout ), + .datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~regout ), + .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [0]), .cin(gnd), - .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~3_combout ), + .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~1_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~3 .lut_mask = 16'hE2E2; -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~3 .sum_lutc_input = "datac"; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~1 .lut_mask = 16'h5404; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X18_Y16_N8 +// Location: LCCOMB_X19_Y17_N8 cycloneii_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~4 ( - .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~1_combout ), + .dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~3_combout ), .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][3]~regout ), .datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~0_combout ), - .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~3_combout ), + .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~1_combout ), .cin(gnd), .combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~4_combout ), .cout()); // synopsys translate_off -defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~4 .lut_mask = 16'hFC20; +defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~4 .lut_mask = 16'hB8A8; defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y14_N28 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~2 ( - .dataa(vcc), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg~regout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8]), +// Location: LCCOMB_X14_Y17_N14 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~1 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [1]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg~regout ), .datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~4_combout ), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~2_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~1_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~2 .lut_mask = 16'hFC0C; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~2 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~1 .lut_mask = 16'h12DE; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y14_N20 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~6 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), - .datad(vcc), +// Location: LCCOMB_X14_Y17_N28 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~4 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~2_combout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~0_combout ), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~1_combout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~6_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~4_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~6 .lut_mask = 16'h4C4C; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~6 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~4 .lut_mask = 16'h008E; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~4 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X11_Y14_N12 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~9 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [0]), - .datab(vcc), +// Location: LCCOMB_X16_Y18_N22 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1]~7 ( + .dataa(vcc), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [1]), .datac(vcc), .datad(vcc), - .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~9_combout ), - .cout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~10 )); + .cin(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[0]~6 ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1]~7_combout ), + .cout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1]~8 )); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~9 .lut_mask = 16'h55AA; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~9 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1]~7 .lut_mask = 16'hC303; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1]~7 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X11_Y14_N14 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1]~11 ( - .dataa(vcc), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [1]), +// Location: LCCOMB_X16_Y18_N24 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2]~11 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [2]), + .datab(vcc), .datac(vcc), .datad(vcc), - .cin(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~10 ), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1]~11_combout ), - .cout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1]~12 )); + .cin(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1]~8 ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2]~11_combout ), + .cout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2]~12 )); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1]~11 .lut_mask = 16'h3C3F; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1]~11 .sum_lutc_input = "cin"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2]~11 .lut_mask = 16'h5AAF; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2]~11 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCCOMB_X12_Y14_N16 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|clear_signal ( - .dataa(vcc), +// Location: LCCOMB_X12_Y17_N4 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~10 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_dr_scan_reg~regout ), .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), - .datad(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|clear_signal~combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~10_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|clear_signal .lut_mask = 16'hC0C0; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|clear_signal .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~10 .lut_mask = 16'hECA0; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~10 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X11_Y14_N16 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2]~14 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [2]), - .datab(vcc), - .datac(vcc), - .datad(vcc), - .cin(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1]~12 ), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2]~14_combout ), - .cout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2]~15 )); -// synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2]~14 .lut_mask = 16'hA50A; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2]~14 .sum_lutc_input = "cin"; -// synopsys translate_on +// Location: LCFF_X16_Y18_N25 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2]~11_combout ), + .sdata(vcc), + .aclr(gnd), + .sclr(gnd), + .sload(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~9_combout ), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~10_combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [2])); -// Location: LCCOMB_X11_Y14_N18 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3]~16 ( - .dataa(vcc), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [3]), - .datac(vcc), - .datad(vcc), - .cin(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2]~15 ), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3]~16_combout ), - .cout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3]~17 )); +// Location: LCCOMB_X16_Y18_N30 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~10 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [0]), + .datab(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [2]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [3]), + .cin(gnd), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~10_combout ), + .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3]~16 .lut_mask = 16'h3C3F; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3]~16 .sum_lutc_input = "cin"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~10 .lut_mask = 16'h0005; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~10 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y14_N24 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~20 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_dr_scan_reg~regout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|clear_signal~combout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), +// Location: LCCOMB_X16_Y18_N8 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~9 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|clear_signal~combout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [1]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~10_combout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [4]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~20_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~9_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~20 .lut_mask = 16'hF0F8; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~20 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~9 .lut_mask = 16'hAABA; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~9 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X11_Y14_N19 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3] ( +// Location: LCFF_X16_Y18_N23 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3]~16_combout ), - .sdata(gnd), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1]~7_combout ), + .sdata(vcc), .aclr(gnd), - .sclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~13_combout ), - .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~20_combout ), + .sclr(gnd), + .sload(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~9_combout ), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~10_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [3])); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [1])); -// Location: LCCOMB_X11_Y14_N20 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[4]~18 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [4]), - .datab(vcc), +// Location: LCCOMB_X16_Y18_N26 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3]~13 ( + .dataa(vcc), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [3]), .datac(vcc), .datad(vcc), - .cin(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3]~17 ), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[4]~18_combout ), - .cout()); + .cin(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2]~12 ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3]~13_combout ), + .cout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3]~14 )); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[4]~18 .lut_mask = 16'hA5A5; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[4]~18 .sum_lutc_input = "cin"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3]~13 .lut_mask = 16'hC303; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3]~13 .sum_lutc_input = "cin"; // synopsys translate_on -// Location: LCFF_X11_Y14_N21 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[4] ( +// Location: LCFF_X16_Y18_N27 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[4]~18_combout ), - .sdata(gnd), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3]~13_combout ), + .sdata(vcc), .aclr(gnd), - .sclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~13_combout ), - .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~20_combout ), + .sclr(gnd), + .sload(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~9_combout ), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~10_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [4])); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [3])); -// Location: LCFF_X11_Y14_N13 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0] ( +// Location: LCCOMB_X16_Y18_N28 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~15 ( + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [4]), + .cin(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3]~14 ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~15_combout ), + .cout()); +// synopsys translate_off +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~15 .lut_mask = 16'h0FF0; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~15 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X16_Y18_N29 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~9_combout ), - .sdata(gnd), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~15_combout ), + .sdata(vcc), .aclr(gnd), - .sclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~13_combout ), - .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~20_combout ), + .sclr(gnd), + .sload(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~9_combout ), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~10_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [0])); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [4])); -// Location: LCCOMB_X11_Y14_N22 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~10 ( - .dataa(vcc), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [3]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [4]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [0]), +// Location: LCCOMB_X15_Y18_N22 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~9 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~8_combout ), + .datab(vcc), + .datac(vcc), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [4]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~10_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~9_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~10 .lut_mask = 16'h0030; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~10 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~9 .lut_mask = 16'hAA00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~9 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X11_Y14_N8 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~13 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [2]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [1]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|clear_signal~combout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~10_combout ), +// Location: LCCOMB_X16_Y18_N0 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~6 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [0]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [3]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [2]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [4]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~13_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~6_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~13 .lut_mask = 16'hF1F0; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~13 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~6 .lut_mask = 16'h03E6; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~6 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X11_Y14_N15 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1]~11_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~13_combout ), - .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~20_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [1])); - -// Location: LCCOMB_X11_Y14_N4 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~4 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [1]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), +// Location: LCCOMB_X16_Y18_N18 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~7 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~13_combout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [1]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~6_combout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [4]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~7_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~4 .lut_mask = 16'h040C; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~7 .lut_mask = 16'h30B8; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~7 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X11_Y14_N17 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2]~14_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~13_combout ), - .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~20_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [2])); - -// Location: LCCOMB_X11_Y14_N6 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~5 ( - .dataa(vcc), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [3]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [2]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter [0]), +// Location: LCCOMB_X15_Y18_N16 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~0 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg [0]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~9_combout ), + .datac(vcc), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~7_combout ), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~5_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~0_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~5 .lut_mask = 16'hC000; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~5 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~0 .lut_mask = 16'hBB88; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X11_Y14_N24 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~7 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR [1]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~6_combout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~4_combout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~5_combout ), +// Location: LCCOMB_X16_Y18_N14 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~17 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~16_combout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [3]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [2]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg [4]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~7_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~17_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~7 .lut_mask = 16'hF888; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~7 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~17 .lut_mask = 16'h02A8; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~17 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y14_N14 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0]~16 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_dr_scan_reg~regout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|clear_signal~combout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), +// Location: LCCOMB_X15_Y18_N20 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[1]~1 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg [1]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~17_combout ), + .datac(vcc), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~9_combout ), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0]~16_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[1]~1_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0]~16 .lut_mask = 16'hFCF8; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0]~16 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[1]~1 .lut_mask = 16'hAACC; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[1]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X11_Y14_N25 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0] ( - .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~7_combout ), - .sdata(gnd), - .aclr(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0]~16_combout ), - .devclrn(devclrn), - .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR [0])); - -// Location: LCCOMB_X14_Y14_N30 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~3 ( +// Location: LCCOMB_X14_Y18_N22 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[3]~feeder ( .dataa(vcc), - .datab(\altera_internal_jtag~TDIUTAP ), + .datab(vcc), .datac(vcc), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datad(\altera_internal_jtag~TDIUTAP ), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~3_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~3 .lut_mask = 16'h00CC; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~3 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[3]~feeder .lut_mask = 16'hFF00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X14_Y14_N4 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~0 ( +// Location: LCCOMB_X14_Y17_N26 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~0 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [2]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [0]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_dr_scan_reg~regout ), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [1]), + .cin(gnd), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~0_combout ), + .cout()); +// synopsys translate_off +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~0 .lut_mask = 16'h2000; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X14_Y17_N22 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~1 ( .dataa(vcc), - .datab(vcc), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~0_combout ), .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~0_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~1_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~0 .lut_mask = 16'hFFF0; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~0 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~1 .lut_mask = 16'h00C0; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~1 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X14_Y14_N31 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg[3] ( +// Location: LCFF_X14_Y18_N23 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[3] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~3_combout ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[3]~feeder_combout ), .sdata(gnd), .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~0_combout ), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg [3])); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg [3])); -// Location: LCCOMB_X14_Y14_N12 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~2 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), +// Location: LCCOMB_X14_Y18_N2 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[2]~feeder ( + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg [3]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg [3]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~2_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~2 .lut_mask = 16'hFFAA; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~2 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[2]~feeder .lut_mask = 16'hFF00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X14_Y14_N13 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg[2] ( +// Location: LCFF_X14_Y18_N3 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[2] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~2_combout ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[2]~feeder_combout ), .sdata(gnd), .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~0_combout ), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg [2])); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg [2])); -// Location: LCCOMB_X14_Y14_N2 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~1 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), +// Location: LCCOMB_X14_Y18_N16 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[2]~feeder ( + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg [2]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg [2]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~1_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[2]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~1 .lut_mask = 16'h5500; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~1 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[2]~feeder .lut_mask = 16'hFF00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[2]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X14_Y14_N3 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg[1] ( +// Location: LCCOMB_X14_Y17_N12 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~0 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [8]), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~0_combout ), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [8]), + .cin(gnd), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~0_combout ), + .cout()); +// synopsys translate_off +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~0 .lut_mask = 16'h0008; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X14_Y18_N17 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[2] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~1_combout ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[2]~feeder_combout ), .sdata(gnd), .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~0_combout ), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg [1])); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg [2])); -// Location: LCCOMB_X14_Y14_N26 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~0 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), +// Location: LCCOMB_X15_Y18_N8 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[2]~2 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~12_combout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg [2]), + .datac(vcc), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~9_combout ), + .cin(gnd), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[2]~2_combout ), + .cout()); +// synopsys translate_off +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[2]~2 .lut_mask = 16'hCCAA; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[2]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X14_Y18_N12 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[3]~feeder ( + .dataa(vcc), .datab(vcc), .datac(vcc), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg [1]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg [3]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~0_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[3]~feeder_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~0 .lut_mask = 16'hFFAA; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~0 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[3]~feeder .lut_mask = 16'hFF00; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[3]~feeder .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X14_Y14_N27 -cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg[0] ( +// Location: LCFF_X14_Y18_N13 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[3] ( .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), - .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~0_combout ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[3]~feeder_combout ), .sdata(gnd), .aclr(gnd), .sclr(gnd), .sload(gnd), - .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~0_combout ), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg [0])); + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg [3])); -// Location: LCCOMB_X13_Y14_N22 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~0 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [2]), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR [0]), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg [0]), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [0]), +// Location: LCCOMB_X15_Y18_N24 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~3 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~15_combout ), + .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~9_combout ), + .datac(vcc), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg [3]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~0_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~3_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~0 .lut_mask = 16'hAAE4; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~0 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~3 .lut_mask = 16'hEE22; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~3 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y14_N26 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~4 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~1_combout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~2_combout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~0_combout ), +// Location: LCCOMB_X15_Y18_N26 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_proc~0 ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_dr_scan_reg~regout ), + .datab(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datad(vcc), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~4_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_proc~0_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~4 .lut_mask = 16'h0D04; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~4 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_proc~0 .lut_mask = 16'h5F5F; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_proc~0 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y14_N20 -cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~3 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~1_combout ), - .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~2_combout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg~regout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~0_combout ), +// Location: LCCOMB_X15_Y18_N18 +cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena ( + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_dr_scan_reg~regout ), + .datab(vcc), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [3]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [4]), .cin(gnd), - .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~3_combout ), + .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~3 .lut_mask = 16'hF4FC; -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~3 .sum_lutc_input = "datac"; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena .lut_mask = 16'hAAA0; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X13_Y14_N10 +// Location: LCFF_X15_Y18_N25 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~3_combout ), + .sdata(\altera_internal_jtag~TDIUTAP ), + .aclr(gnd), + .sclr(gnd), + .sload(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_proc~0_combout ), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg [3])); + +// Location: LCFF_X15_Y18_N9 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[2] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[2]~2_combout ), + .sdata(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg [3]), + .aclr(gnd), + .sclr(gnd), + .sload(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_proc~0_combout ), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg [2])); + +// Location: LCFF_X15_Y18_N21 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[1] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[1]~1_combout ), + .sdata(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg [2]), + .aclr(gnd), + .sclr(gnd), + .sload(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_proc~0_combout ), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg [1])); + +// Location: LCFF_X15_Y18_N17 +cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0] ( + .clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ), + .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~0_combout ), + .sdata(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg [1]), + .aclr(gnd), + .sclr(gnd), + .sload(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_proc~0_combout ), + .ena(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~combout ), + .devclrn(devclrn), + .devpor(devpor), + .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg [0])); + +// Location: LCCOMB_X14_Y17_N0 cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~5 ( - .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg [0]), + .dataa(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~3_combout ), .datab(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~4_combout ), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~3_combout ), - .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [0]), + .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg [0]), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg [0]), .cin(gnd), .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~5_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~5 .lut_mask = 16'h0733; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~5 .lut_mask = 16'h1353; defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~5 .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCFF_X13_Y14_N11 +// Location: LCFF_X14_Y17_N1 cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo ( .clk(!\altera_internal_jtag~TCKUTAPclkctrl_outclk ), .datain(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~5_combout ), @@ -43331,7 +49273,7 @@ cycloneii_lcell_ff \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo ( .devpor(devpor), .regout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~regout )); -// Location: LCCOMB_X13_Y14_N0 +// Location: LCCOMB_X14_Y17_N2 cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~_wirecell ( .dataa(vcc), .datab(vcc), @@ -43345,7 +49287,7 @@ defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~_wirecell .lut_mask = 16'h defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~_wirecell .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X43_Y15_N0 +// Location: LCCOMB_X44_Y3_N0 cycloneii_lcell_comb \auto_hub|~GND ( .dataa(vcc), .datab(vcc), @@ -43359,31 +49301,31 @@ defparam \auto_hub|~GND .lut_mask = 16'h0000; defparam \auto_hub|~GND .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X12_Y14_N2 +// Location: LCCOMB_X12_Y17_N10 cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~_wirecell ( .dataa(vcc), .datab(vcc), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~regout ), - .datad(vcc), + .datac(vcc), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~regout ), .cin(gnd), .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~_wirecell_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~_wirecell .lut_mask = 16'h0F0F; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~_wirecell .lut_mask = 16'h00FF; defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~_wirecell .sum_lutc_input = "datac"; // synopsys translate_on -// Location: LCCOMB_X11_Y15_N4 +// Location: LCCOMB_X11_Y17_N6 cycloneii_lcell_comb \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~_wirecell ( .dataa(vcc), .datab(vcc), - .datac(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [0]), - .datad(vcc), + .datac(vcc), + .datad(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state [0]), .cin(gnd), .combout(\auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~_wirecell_combout ), .cout()); // synopsys translate_off -defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~_wirecell .lut_mask = 16'h0F0F; +defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~_wirecell .lut_mask = 16'h00FF; defparam \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~_wirecell .sum_lutc_input = "datac"; // synopsys translate_on @@ -44467,7 +50409,7 @@ defparam \led_mode[0]~I .output_register_mode = "none"; defparam \led_mode[0]~I .output_sync_reset = "none"; // synopsys translate_on -// Location: PIN_A13, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// Location: PIN_H19, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA cycloneii_io \num[5]~I ( .datain(\inst|inst4|inst115|auto_generated|safe_q [5]), .oe(vcc), @@ -44503,7 +50445,7 @@ defparam \num[5]~I .output_register_mode = "none"; defparam \num[5]~I .output_sync_reset = "none"; // synopsys translate_on -// Location: PIN_F10, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// Location: PIN_B17, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA cycloneii_io \num[4]~I ( .datain(\inst|inst4|inst115|auto_generated|safe_q [4]), .oe(vcc), @@ -44539,7 +50481,7 @@ defparam \num[4]~I .output_register_mode = "none"; defparam \num[4]~I .output_sync_reset = "none"; // synopsys translate_on -// Location: PIN_F11, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// Location: PIN_L18, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA cycloneii_io \num[3]~I ( .datain(\inst|inst4|inst115|auto_generated|safe_q [3]), .oe(vcc), @@ -44575,7 +50517,7 @@ defparam \num[3]~I .output_register_mode = "none"; defparam \num[3]~I .output_sync_reset = "none"; // synopsys translate_on -// Location: PIN_A11, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// Location: PIN_J22, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA cycloneii_io \num[2]~I ( .datain(\inst|inst4|inst115|auto_generated|safe_q [2]), .oe(vcc), @@ -44611,7 +50553,7 @@ defparam \num[2]~I .output_register_mode = "none"; defparam \num[2]~I .output_sync_reset = "none"; // synopsys translate_on -// Location: PIN_B11, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// Location: PIN_F14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA cycloneii_io \num[1]~I ( .datain(\inst|inst4|inst115|auto_generated|safe_q [1]), .oe(vcc), @@ -44647,7 +50589,7 @@ defparam \num[1]~I .output_register_mode = "none"; defparam \num[1]~I .output_sync_reset = "none"; // synopsys translate_on -// Location: PIN_E11, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// Location: PIN_B15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA cycloneii_io \num[0]~I ( .datain(\inst|inst4|inst115|auto_generated|safe_q [0]), .oe(vcc), @@ -44683,7 +50625,7 @@ defparam \num[0]~I .output_register_mode = "none"; defparam \num[0]~I .output_sync_reset = "none"; // synopsys translate_on -// Location: PIN_C10, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// Location: PIN_B13, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA cycloneii_io \value[5]~I ( .datain(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68_combout ), .oe(vcc), @@ -44719,7 +50661,7 @@ defparam \value[5]~I .output_register_mode = "none"; defparam \value[5]~I .output_sync_reset = "none"; // synopsys translate_on -// Location: PIN_A15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// Location: PIN_B14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA cycloneii_io \value[4]~I ( .datain(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17_combout ), .oe(vcc), @@ -44755,7 +50697,7 @@ defparam \value[4]~I .output_register_mode = "none"; defparam \value[4]~I .output_sync_reset = "none"; // synopsys translate_on -// Location: PIN_A14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// Location: PIN_A13, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA cycloneii_io \value[3]~I ( .datain(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34_combout ), .oe(vcc), @@ -44791,7 +50733,7 @@ defparam \value[3]~I .output_register_mode = "none"; defparam \value[3]~I .output_sync_reset = "none"; // synopsys translate_on -// Location: PIN_H9, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// Location: PIN_J15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA cycloneii_io \value[2]~I ( .datain(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51_combout ), .oe(vcc), @@ -44827,7 +50769,7 @@ defparam \value[2]~I .output_register_mode = "none"; defparam \value[2]~I .output_sync_reset = "none"; // synopsys translate_on -// Location: PIN_B9, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// Location: PIN_E14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA cycloneii_io \value[1]~I ( .datain(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85_combout ), .oe(vcc), @@ -44863,7 +50805,7 @@ defparam \value[1]~I .output_register_mode = "none"; defparam \value[1]~I .output_sync_reset = "none"; // synopsys translate_on -// Location: PIN_D11, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// Location: PIN_A15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA cycloneii_io \value[0]~I ( .datain(\inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102_combout ), .oe(vcc), diff --git a/lab3/simulation/modelsim/gA6_lab3_modelsim.xrf b/lab3/simulation/modelsim/gA6_lab3_modelsim.xrf index 6fd0ffb..c86a537 100644 --- a/lab3/simulation/modelsim/gA6_lab3_modelsim.xrf +++ b/lab3/simulation/modelsim/gA6_lab3_modelsim.xrf @@ -89,60 +89,51 @@ source_file = 1, c:/altera/13.0/quartus/libraries/megafunctions/sld_rom_sr.vhd source_file = 1, c:/altera/13.0/quartus/libraries/megafunctions/sld_hub.vhd source_file = 1, c:/altera/13.0/quartus/libraries/megafunctions/sld_jtag_hub.vhd design_name = gA6_lab3 -instance = comp, \inst|inst4|inst101|dffs[4] , inst|inst4|inst101|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst99|dffs[4] , inst|inst4|inst99|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst96|dffs[4] , inst|inst4|inst96|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst103|dffs[4] , inst|inst4|inst103|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst45|dffs[4] , inst|inst4|inst45|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst42|dffs[4] , inst|inst4|inst42|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst41|dffs[4] , inst|inst4|inst41|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst47|dffs[4] , inst|inst4|inst47|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst37|dffs[4] , inst|inst4|inst37|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst39|dffs[4] , inst|inst4|inst39|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst59|dffs[4] , inst|inst4|inst59|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst5|dffs[4] , inst|inst4|inst5|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst31|dffs[4] , inst|inst4|inst31|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst27|dffs[4] , inst|inst4|inst27|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst28|dffs[4] , inst|inst4|inst28|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst25|dffs[4] , inst|inst4|inst25|dffs[4], gA6_lab3, 1 instance = comp, \inst|inst4|inst57|dffs[4] , inst|inst4|inst57|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst63|dffs[4] , inst|inst4|inst63|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst61|dffs[4] , inst|inst4|inst61|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst51|dffs[4] , inst|inst4|inst51|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst49|dffs[4] , inst|inst4|inst49|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst54|dffs[4] , inst|inst4|inst54|dffs[4], gA6_lab3, 1 instance = comp, \inst|inst4|inst53|dffs[4] , inst|inst4|inst53|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst77|dffs[4] , inst|inst4|inst77|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst75|dffs[4] , inst|inst4|inst75|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst73|dffs[4] , inst|inst4|inst73|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst79|dffs[4] , inst|inst4|inst79|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst68|dffs[4] , inst|inst4|inst68|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst67|dffs[4] , inst|inst4|inst67|dffs[4], gA6_lab3, 1 instance = comp, \inst|inst4|inst65|dffs[4] , inst|inst4|inst65|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst95|dffs[4] , inst|inst4|inst95|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst71|dffs[4] , inst|inst4|inst71|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst82|dffs[4] , inst|inst4|inst82|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst81|dffs[4] , inst|inst4|inst81|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst87|dffs[4] , inst|inst4|inst87|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst85|dffs[4] , inst|inst4|inst85|dffs[4], gA6_lab3, 1 instance = comp, \inst|inst4|inst13|dffs[3] , inst|inst4|inst13|dffs[3], gA6_lab3, 1 instance = comp, \inst|inst4|inst11|dffs[3] , inst|inst4|inst11|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst14|dffs[3] , inst|inst4|inst14|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst9|dffs[3] , inst|inst4|inst9|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst5|dffs[3] , inst|inst4|inst5|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst2|dffs[3] , inst|inst4|inst2|dffs[3], gA6_lab3, 1 instance = comp, \inst|inst4|inst|dffs[3] , inst|inst4|inst|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst27|dffs[3] , inst|inst4|inst27|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst7|dffs[3] , inst|inst4|inst7|dffs[3], gA6_lab3, 1 instance = comp, \inst|inst4|inst25|dffs[3] , inst|inst4|inst25|dffs[3], gA6_lab3, 1 instance = comp, \inst|inst4|inst19|dffs[3] , inst|inst4|inst19|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst17|dffs[3] , inst|inst4|inst17|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst31|dffs[3] , inst|inst4|inst31|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst28|dffs[3] , inst|inst4|inst28|dffs[3], gA6_lab3, 1 instance = comp, \inst|inst4|inst23|dffs[3] , inst|inst4|inst23|dffs[3], gA6_lab3, 1 instance = comp, \inst|inst4|inst21|dffs[3] , inst|inst4|inst21|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst96|dffs[3] , inst|inst4|inst96|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst101|dffs[3] , inst|inst4|inst101|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst99|dffs[3] , inst|inst4|inst99|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst103|dffs[3] , inst|inst4|inst103|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst45|dffs[3] , inst|inst4|inst45|dffs[3], gA6_lab3, 1 instance = comp, \inst|inst4|inst42|dffs[3] , inst|inst4|inst42|dffs[3], gA6_lab3, 1 instance = comp, \inst|inst4|inst41|dffs[3] , inst|inst4|inst41|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst47|dffs[3] , inst|inst4|inst47|dffs[3], gA6_lab3, 1 instance = comp, \inst|inst4|inst37|dffs[3] , inst|inst4|inst37|dffs[3], gA6_lab3, 1 instance = comp, \inst|inst4|inst35|dffs[3] , inst|inst4|inst35|dffs[3], gA6_lab3, 1 instance = comp, \inst|inst4|inst33|dffs[3] , inst|inst4|inst33|dffs[3], gA6_lab3, 1 instance = comp, \inst|inst4|inst39|dffs[3] , inst|inst4|inst39|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst59|dffs[3] , inst|inst4|inst59|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst49|dffs[3] , inst|inst4|inst49|dffs[3], gA6_lab3, 1 instance = comp, \inst|inst4|inst77|dffs[3] , inst|inst4|inst77|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst75|dffs[3] , inst|inst4|inst75|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst73|dffs[3] , inst|inst4|inst73|dffs[3], gA6_lab3, 1 instance = comp, \inst|inst4|inst79|dffs[3] , inst|inst4|inst79|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst68|dffs[3] , inst|inst4|inst68|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst67|dffs[3] , inst|inst4|inst67|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst65|dffs[3] , inst|inst4|inst65|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst71|dffs[3] , inst|inst4|inst71|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst95|dffs[3] , inst|inst4|inst95|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst91|dffs[3] , inst|inst4|inst91|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst93|dffs[3] , inst|inst4|inst93|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst89|dffs[3] , inst|inst4|inst89|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst87|dffs[3] , inst|inst4|inst87|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst82|dffs[3] , inst|inst4|inst82|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst85|dffs[3] , inst|inst4|inst85|dffs[3], gA6_lab3, 1 instance = comp, \inst|inst4|inst81|dffs[3] , inst|inst4|inst81|dffs[3], gA6_lab3, 1 instance = comp, \inst|inst4|inst13|dffs[2] , inst|inst4|inst13|dffs[2], gA6_lab3, 1 instance = comp, \inst|inst4|inst11|dffs[2] , inst|inst4|inst11|dffs[2], gA6_lab3, 1 @@ -160,30 +151,28 @@ instance = comp, \inst|inst4|inst28|dffs[2] , inst|inst4|inst28|dffs[2], gA6_lab instance = comp, \inst|inst4|inst25|dffs[2] , inst|inst4|inst25|dffs[2], gA6_lab3, 1 instance = comp, \inst|inst4|inst21|dffs[2] , inst|inst4|inst21|dffs[2], gA6_lab3, 1 instance = comp, \inst|inst4|inst17|dffs[2] , inst|inst4|inst17|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst101|dffs[2] , inst|inst4|inst101|dffs[2], gA6_lab3, 1 instance = comp, \inst|inst4|inst99|dffs[2] , inst|inst4|inst99|dffs[2], gA6_lab3, 1 instance = comp, \inst|inst4|inst96|dffs[2] , inst|inst4|inst96|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst103|dffs[2] , inst|inst4|inst103|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst45|dffs[2] , inst|inst4|inst45|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst42|dffs[2] , inst|inst4|inst42|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst41|dffs[2] , inst|inst4|inst41|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst47|dffs[2] , inst|inst4|inst47|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst37|dffs[2] , inst|inst4|inst37|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst35|dffs[2] , inst|inst4|inst35|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst33|dffs[2] , inst|inst4|inst33|dffs[2], gA6_lab3, 1 instance = comp, \inst|inst4|inst39|dffs[2] , inst|inst4|inst39|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst63|dffs[2] , inst|inst4|inst63|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst77|dffs[2] , inst|inst4|inst77|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst68|dffs[2] , inst|inst4|inst68|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst67|dffs[2] , inst|inst4|inst67|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst65|dffs[2] , inst|inst4|inst65|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst61|dffs[2] , inst|inst4|inst61|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst54|dffs[2] , inst|inst4|inst54|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst53|dffs[2] , inst|inst4|inst53|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst91|dffs[2] , inst|inst4|inst91|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst89|dffs[2] , inst|inst4|inst89|dffs[2], gA6_lab3, 1 instance = comp, \inst|inst4|inst95|dffs[2] , inst|inst4|inst95|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst93|dffs[2] , inst|inst4|inst93|dffs[2], gA6_lab3, 1 instance = comp, \inst|inst4|inst82|dffs[2] , inst|inst4|inst82|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst81|dffs[2] , inst|inst4|inst81|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst87|dffs[2] , inst|inst4|inst87|dffs[2], gA6_lab3, 1 instance = comp, \inst|inst4|inst85|dffs[2] , inst|inst4|inst85|dffs[2], gA6_lab3, 1 instance = comp, \inst|inst4|inst13|dffs[5] , inst|inst4|inst13|dffs[5], gA6_lab3, 1 instance = comp, \inst|inst4|inst11|dffs[5] , inst|inst4|inst11|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst9|dffs[5] , inst|inst4|inst9|dffs[5], gA6_lab3, 1 instance = comp, \inst|inst4|inst14|dffs[5] , inst|inst4|inst14|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst31|dffs[5] , inst|inst4|inst31|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst5|dffs[5] , inst|inst4|inst5|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst2|dffs[5] , inst|inst4|inst2|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst|dffs[5] , inst|inst4|inst|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst7|dffs[5] , inst|inst4|inst7|dffs[5], gA6_lab3, 1 instance = comp, \inst|inst4|inst27|dffs[5] , inst|inst4|inst27|dffs[5], gA6_lab3, 1 instance = comp, \inst|inst4|inst28|dffs[5] , inst|inst4|inst28|dffs[5], gA6_lab3, 1 instance = comp, \inst|inst4|inst25|dffs[5] , inst|inst4|inst25|dffs[5], gA6_lab3, 1 @@ -193,36 +182,40 @@ instance = comp, \inst|inst4|inst21|dffs[5] , inst|inst4|inst21|dffs[5], gA6_lab instance = comp, \inst|inst4|inst17|dffs[5] , inst|inst4|inst17|dffs[5], gA6_lab3, 1 instance = comp, \inst|inst4|inst101|dffs[5] , inst|inst4|inst101|dffs[5], gA6_lab3, 1 instance = comp, \inst|inst4|inst99|dffs[5] , inst|inst4|inst99|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst96|dffs[5] , inst|inst4|inst96|dffs[5], gA6_lab3, 1 instance = comp, \inst|inst4|inst103|dffs[5] , inst|inst4|inst103|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst45|dffs[5] , inst|inst4|inst45|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst42|dffs[5] , inst|inst4|inst42|dffs[5], gA6_lab3, 1 instance = comp, \inst|inst4|inst41|dffs[5] , inst|inst4|inst41|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst63|dffs[5] , inst|inst4|inst63|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst47|dffs[5] , inst|inst4|inst47|dffs[5], gA6_lab3, 1 instance = comp, \inst|inst4|inst59|dffs[5] , inst|inst4|inst59|dffs[5], gA6_lab3, 1 instance = comp, \inst|inst4|inst61|dffs[5] , inst|inst4|inst61|dffs[5], gA6_lab3, 1 instance = comp, \inst|inst4|inst57|dffs[5] , inst|inst4|inst57|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst77|dffs[5] , inst|inst4|inst77|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst75|dffs[5] , inst|inst4|inst75|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst73|dffs[5] , inst|inst4|inst73|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst79|dffs[5] , inst|inst4|inst79|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst68|dffs[5] , inst|inst4|inst68|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst67|dffs[5] , inst|inst4|inst67|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst65|dffs[5] , inst|inst4|inst65|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst71|dffs[5] , inst|inst4|inst71|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst91|dffs[5] , inst|inst4|inst91|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst54|dffs[5] , inst|inst4|inst54|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst51|dffs[5] , inst|inst4|inst51|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst53|dffs[5] , inst|inst4|inst53|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst49|dffs[5] , inst|inst4|inst49|dffs[5], gA6_lab3, 1 instance = comp, \inst|inst4|inst89|dffs[5] , inst|inst4|inst89|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst95|dffs[5] , inst|inst4|inst95|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst93|dffs[5] , inst|inst4|inst93|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst82|dffs[5] , inst|inst4|inst82|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst81|dffs[5] , inst|inst4|inst81|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst87|dffs[5] , inst|inst4|inst87|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst85|dffs[5] , inst|inst4|inst85|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst96|dffs[1] , inst|inst4|inst96|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst11|dffs[1] , inst|inst4|inst11|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst9|dffs[1] , inst|inst4|inst9|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst2|dffs[1] , inst|inst4|inst2|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst5|dffs[1] , inst|inst4|inst5|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst|dffs[1] , inst|inst4|inst|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst7|dffs[1] , inst|inst4|inst7|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst27|dffs[1] , inst|inst4|inst27|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst25|dffs[1] , inst|inst4|inst25|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst19|dffs[1] , inst|inst4|inst19|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst45|dffs[1] , inst|inst4|inst45|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst42|dffs[1] , inst|inst4|inst42|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst35|dffs[1] , inst|inst4|inst35|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst77|dffs[1] , inst|inst4|inst77|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst75|dffs[1] , inst|inst4|inst75|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst79|dffs[1] , inst|inst4|inst79|dffs[1], gA6_lab3, 1 instance = comp, \inst|inst4|inst91|dffs[1] , inst|inst4|inst91|dffs[1], gA6_lab3, 1 instance = comp, \inst|inst4|inst89|dffs[1] , inst|inst4|inst89|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst95|dffs[1] , inst|inst4|inst95|dffs[1], gA6_lab3, 1 instance = comp, \inst|inst4|inst93|dffs[1] , inst|inst4|inst93|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst13|dffs[0] , inst|inst4|inst13|dffs[0], gA6_lab3, 1 -instance = comp, \inst|inst4|inst11|dffs[0] , inst|inst4|inst11|dffs[0], gA6_lab3, 1 +instance = comp, \inst|inst4|inst82|dffs[1] , inst|inst4|inst82|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst87|dffs[1] , inst|inst4|inst87|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst85|dffs[1] , inst|inst4|inst85|dffs[1], gA6_lab3, 1 instance = comp, \inst|inst4|inst9|dffs[0] , inst|inst4|inst9|dffs[0], gA6_lab3, 1 instance = comp, \inst|inst4|inst14|dffs[0] , inst|inst4|inst14|dffs[0], gA6_lab3, 1 instance = comp, \inst|inst4|inst5|dffs[0] , inst|inst4|inst5|dffs[0], gA6_lab3, 1 @@ -230,93 +223,87 @@ instance = comp, \inst|inst4|inst2|dffs[0] , inst|inst4|inst2|dffs[0], gA6_lab3, instance = comp, \inst|inst4|inst|dffs[0] , inst|inst4|inst|dffs[0], gA6_lab3, 1 instance = comp, \inst|inst4|inst7|dffs[0] , inst|inst4|inst7|dffs[0], gA6_lab3, 1 instance = comp, \inst|inst4|inst31|dffs[0] , inst|inst4|inst31|dffs[0], gA6_lab3, 1 -instance = comp, \inst|inst4|inst27|dffs[0] , inst|inst4|inst27|dffs[0], gA6_lab3, 1 -instance = comp, \inst|inst4|inst28|dffs[0] , inst|inst4|inst28|dffs[0], gA6_lab3, 1 instance = comp, \inst|inst4|inst25|dffs[0] , inst|inst4|inst25|dffs[0], gA6_lab3, 1 -instance = comp, \inst|inst4|inst23|dffs[0] , inst|inst4|inst23|dffs[0], gA6_lab3, 1 -instance = comp, \inst|inst4|inst19|dffs[0] , inst|inst4|inst19|dffs[0], gA6_lab3, 1 -instance = comp, \inst|inst4|inst21|dffs[0] , inst|inst4|inst21|dffs[0], gA6_lab3, 1 instance = comp, \inst|inst4|inst17|dffs[0] , inst|inst4|inst17|dffs[0], gA6_lab3, 1 +instance = comp, \inst|inst4|inst101|dffs[0] , inst|inst4|inst101|dffs[0], gA6_lab3, 1 instance = comp, \inst|inst4|inst99|dffs[0] , inst|inst4|inst99|dffs[0], gA6_lab3, 1 +instance = comp, \inst|inst4|inst96|dffs[0] , inst|inst4|inst96|dffs[0], gA6_lab3, 1 +instance = comp, \inst|inst4|inst103|dffs[0] , inst|inst4|inst103|dffs[0], gA6_lab3, 1 instance = comp, \inst|inst4|inst37|dffs[0] , inst|inst4|inst37|dffs[0], gA6_lab3, 1 instance = comp, \inst|inst4|inst35|dffs[0] , inst|inst4|inst35|dffs[0], gA6_lab3, 1 instance = comp, \inst|inst4|inst33|dffs[0] , inst|inst4|inst33|dffs[0], gA6_lab3, 1 -instance = comp, \inst|inst4|inst61|dffs[0] , inst|inst4|inst61|dffs[0], gA6_lab3, 1 instance = comp, \inst|inst4|inst77|dffs[0] , inst|inst4|inst77|dffs[0], gA6_lab3, 1 instance = comp, \inst|inst4|inst75|dffs[0] , inst|inst4|inst75|dffs[0], gA6_lab3, 1 -instance = comp, \inst|inst4|inst79|dffs[0] , inst|inst4|inst79|dffs[0], gA6_lab3, 1 -instance = comp, \inst|inst4|inst68|dffs[0] , inst|inst4|inst68|dffs[0], gA6_lab3, 1 +instance = comp, \inst|inst4|inst91|dffs[0] , inst|inst4|inst91|dffs[0], gA6_lab3, 1 +instance = comp, \inst|inst4|inst89|dffs[0] , inst|inst4|inst89|dffs[0], gA6_lab3, 1 +instance = comp, \inst|inst4|inst95|dffs[0] , inst|inst4|inst95|dffs[0], gA6_lab3, 1 +instance = comp, \inst|inst4|inst93|dffs[0] , inst|inst4|inst93|dffs[0], gA6_lab3, 1 +instance = comp, \inst|inst4|inst87|dffs[0] , inst|inst4|inst87|dffs[0], gA6_lab3, 1 instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[20] , inst|inst|auto_generated|counter_reg_bit1a[20], gA6_lab3, 1 -instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[15] , inst|inst|auto_generated|counter_reg_bit1a[15], gA6_lab3, 1 instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[16] , inst|inst|auto_generated|counter_reg_bit1a[16], gA6_lab3, 1 +instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[15] , inst|inst|auto_generated|counter_reg_bit1a[15], gA6_lab3, 1 instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[13] , inst|inst|auto_generated|counter_reg_bit1a[13], gA6_lab3, 1 instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[2] , inst|inst|auto_generated|counter_reg_bit1a[2], gA6_lab3, 1 +instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[4] , inst|inst|auto_generated|counter_reg_bit1a[4], gA6_lab3, 1 instance = comp, \inst|inst12|auto_generated|counter_reg_bit1a[20] , inst|inst12|auto_generated|counter_reg_bit1a[20], gA6_lab3, 1 -instance = comp, \inst|inst12|auto_generated|counter_reg_bit1a[15] , inst|inst12|auto_generated|counter_reg_bit1a[15], gA6_lab3, 1 instance = comp, \inst|inst12|auto_generated|counter_reg_bit1a[16] , inst|inst12|auto_generated|counter_reg_bit1a[16], gA6_lab3, 1 +instance = comp, \inst|inst12|auto_generated|counter_reg_bit1a[15] , inst|inst12|auto_generated|counter_reg_bit1a[15], gA6_lab3, 1 instance = comp, \inst|inst12|auto_generated|counter_reg_bit1a[13] , inst|inst12|auto_generated|counter_reg_bit1a[13], gA6_lab3, 1 instance = comp, \inst|inst12|auto_generated|counter_reg_bit1a[2] , inst|inst12|auto_generated|counter_reg_bit1a[2], gA6_lab3, 1 +instance = comp, \inst|inst12|auto_generated|counter_reg_bit1a[4] , inst|inst12|auto_generated|counter_reg_bit1a[4], gA6_lab3, 1 instance = comp, \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0 , inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4 , inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32 , inst|inst4|inst104|lookup_table|srom|rom_block|auto_generated|ram_block1a32, gA6_lab3, 1 instance = comp, \inst|inst|auto_generated|counter_comb_bita2 , inst|inst|auto_generated|counter_comb_bita2, gA6_lab3, 1 +instance = comp, \inst|inst|auto_generated|counter_comb_bita4 , inst|inst|auto_generated|counter_comb_bita4, gA6_lab3, 1 instance = comp, \inst|inst|auto_generated|counter_comb_bita13 , inst|inst|auto_generated|counter_comb_bita13, gA6_lab3, 1 instance = comp, \inst|inst|auto_generated|counter_comb_bita15 , inst|inst|auto_generated|counter_comb_bita15, gA6_lab3, 1 instance = comp, \inst|inst|auto_generated|counter_comb_bita16 , inst|inst|auto_generated|counter_comb_bita16, gA6_lab3, 1 instance = comp, \inst|inst|auto_generated|counter_comb_bita19 , inst|inst|auto_generated|counter_comb_bita19, gA6_lab3, 1 instance = comp, \inst|inst|auto_generated|counter_comb_bita20 , inst|inst|auto_generated|counter_comb_bita20, gA6_lab3, 1 instance = comp, \inst|inst12|auto_generated|counter_comb_bita2 , inst|inst12|auto_generated|counter_comb_bita2, gA6_lab3, 1 +instance = comp, \inst|inst12|auto_generated|counter_comb_bita4 , inst|inst12|auto_generated|counter_comb_bita4, gA6_lab3, 1 instance = comp, \inst|inst12|auto_generated|counter_comb_bita13 , inst|inst12|auto_generated|counter_comb_bita13, gA6_lab3, 1 instance = comp, \inst|inst12|auto_generated|counter_comb_bita15 , inst|inst12|auto_generated|counter_comb_bita15, gA6_lab3, 1 instance = comp, \inst|inst12|auto_generated|counter_comb_bita16 , inst|inst12|auto_generated|counter_comb_bita16, gA6_lab3, 1 instance = comp, \inst|inst12|auto_generated|counter_comb_bita19 , inst|inst12|auto_generated|counter_comb_bita19, gA6_lab3, 1 instance = comp, \inst|inst12|auto_generated|counter_comb_bita20 , inst|inst12|auto_generated|counter_comb_bita20, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~2 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~2, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~3 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~5 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~4 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~4, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~17 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~17, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~18 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~18, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~19 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~19, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~20 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~20, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~21 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~21, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~22 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~22, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~9 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~9, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~10 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~10, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~11 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~11, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~12 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~12, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~13 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~13, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~14 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~14, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~15 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~15, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~16 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~16, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~23 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~23, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~24 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~24, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~25 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~25, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~26 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~26, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~27 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~27, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~28 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~28, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~29 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~29, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~30 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~30, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~31 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~31, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~32 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~32, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~33 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~33, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~34 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~34, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~36 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~36, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~37 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~37, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~38 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~38, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~19 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~19, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~20 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~20, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~21 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~21, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~22 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~22, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~39 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~39, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~40 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~40, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~18 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~18, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~23 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~23, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~24 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~24, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~41 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~41, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~42 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~42, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~43 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~43, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~44 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~44, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~45 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~45, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~46 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~46, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~27 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~27, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~31 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~31, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~26 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~26, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~47 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~47, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~48 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~48, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~49 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~49, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~50 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~50, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~51 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~51, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~52 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~52, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~53 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~53, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~54 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~54, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~55 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~55, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~56 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~56, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~57 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~57, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~58 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~58, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~59 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~59, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~60 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~60, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~61 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~61, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~62 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~62, gA6_lab3, 1 @@ -330,31 +317,29 @@ instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_nod instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~41 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~41, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~42 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~42, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~64 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~64, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~65 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~65, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~66 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~66, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~67 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~67, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~68 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~68, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~69 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~69, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~43 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~43, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~44 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~44, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~45 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~45, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~46 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~46, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~73 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~73, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~74 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~74, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~75 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~75, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~48 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~48, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~76 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~76, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~77 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~77, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~78 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~78, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~79 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~79, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~80 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~80, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~81 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~81, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~83 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~83, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~84 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~84, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~85 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~85, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~86 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~86, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~52 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~52, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~53 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~53, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~54 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~54, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~55 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~55, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~56 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~56, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~57 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~57, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~58 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~58, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~59 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~59, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~87 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~87, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~88 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~88, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~89 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~89, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~90 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~90, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~61 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~61, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~62 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~62, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~63 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~63, gA6_lab3, 1 @@ -363,119 +348,96 @@ instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_nod instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~66 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~66, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~93 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~93, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~94 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~94, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~96 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~96, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~97 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~97, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~95 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~95, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~99 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~99, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~100 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~100, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~101 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~101, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~102 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~102, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~103 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~103, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~104 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~104, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~106 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~106, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~107 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~107, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~108 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~108, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~109 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~109, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~69 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~69, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~70 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~70, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~71 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~71, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~72 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~72, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~73 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~73, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~74 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~74, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~75 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~75, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~76 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~76, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~110 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~110, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~78 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~78, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~79 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~79, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~80 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~80, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~112 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~112, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~113 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~113, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~81 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~81, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~82 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~82, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~83 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~83, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~116 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~116, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~117 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~117, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~118 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~118, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~122 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~122, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~123 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~123, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~124 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~124, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~129 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~129, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~130 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~130, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~126 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~126, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~131 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~131, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~132 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~132, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~86 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~86, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~87 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~87, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~88 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~88, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~89 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~89, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~90 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~90, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~91 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~91, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~92 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~92, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~93 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~93, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~133 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~133, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~134 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~134, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~137 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~137, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~138 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~138, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~98 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~98, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~139 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~139, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~140 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~140, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~141 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~141, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~99 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~99, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~145 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~145, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~146 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~146, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~147 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~147, gA6_lab3, 1 -instance = comp, \inst|inst5|inst5|inst2|inst , inst|inst5|inst5|inst2|inst, gA6_lab3, 1 instance = comp, \inst|inst5|inst5|inst3|inst3~1 , inst|inst5|inst5|inst3|inst3~1, gA6_lab3, 1 instance = comp, \inst|inst5|inst5|inst3|inst3~2 , inst|inst5|inst5|inst3|inst3~2, gA6_lab3, 1 -instance = comp, \inst|inst1|auto_generated|aneb_result_wire[0]~1 , inst|inst1|auto_generated|aneb_result_wire[0]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst107 , inst|inst4|inst107, gA6_lab3, 1 +instance = comp, \inst|inst1|auto_generated|aneb_result_wire[0]~3 , inst|inst1|auto_generated|aneb_result_wire[0]~3, gA6_lab3, 1 +instance = comp, \inst|inst1|auto_generated|aneb_result_wire[0]~7 , inst|inst1|auto_generated|aneb_result_wire[0]~7, gA6_lab3, 1 instance = comp, \inst|inst11|auto_generated|aneb_result_wire[0]~1 , inst|inst11|auto_generated|aneb_result_wire[0]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst100|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst100|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst98|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst98|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst97|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst97|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst11|auto_generated|aneb_result_wire[0]~5 , inst|inst11|auto_generated|aneb_result_wire[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst115|auto_generated|_~0 , inst|inst4|inst115|auto_generated|_~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst4|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst4|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst49 , inst|inst4|inst124|inst49, gA6_lab3, 1 +instance = comp, \inst|inst4|inst3|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst3|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst6|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst6|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst30|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst30|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst26|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst26|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst29|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst29|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst24|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst24|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst39 , inst|inst4|inst124|inst39, gA6_lab3, 1 instance = comp, \inst|inst4|inst102|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst102|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst44|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst44|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst43|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst43|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 instance = comp, \inst|inst4|inst40|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst40|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst46|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst46|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst36|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst36|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst38|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst38|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst58|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst58|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst32|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst32|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 instance = comp, \inst|inst4|inst56|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst56|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst62|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst62|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst60|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst60|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 instance = comp, \inst|inst4|inst50|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst50|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst48|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst48|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 instance = comp, \inst|inst4|inst55|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst55|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 instance = comp, \inst|inst4|inst52|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst52|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst76|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst76|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst74|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst74|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst72|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst72|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst78|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst78|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst69|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst69|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst66|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst66|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 instance = comp, \inst|inst4|inst64|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst64|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst94|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst94|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst70|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst70|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst83|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst83|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst80|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst80|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst86|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst86|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst84|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst84|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 instance = comp, \inst|inst4|inst12|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst12|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 instance = comp, \inst|inst4|inst10|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst10|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst8|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst8|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 instance = comp, \inst|inst4|inst15|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst15|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst4|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst4|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst3|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst3|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 instance = comp, \inst|inst4|inst1|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst1|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst26|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst26|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst6|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst6|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 instance = comp, \inst|inst4|inst24|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst24|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 instance = comp, \inst|inst4|inst18|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst18|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst16|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst16|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst30|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst30|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst29|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst29|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 instance = comp, \inst|inst4|inst22|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst22|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 instance = comp, \inst|inst4|inst20|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst20|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst97|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst97|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst100|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst100|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst98|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst98|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst102|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst102|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst44|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst44|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 instance = comp, \inst|inst4|inst43|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst43|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 instance = comp, \inst|inst4|inst40|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst40|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst46|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst46|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 instance = comp, \inst|inst4|inst36|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst36|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 instance = comp, \inst|inst4|inst34|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst34|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 instance = comp, \inst|inst4|inst32|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst32|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 instance = comp, \inst|inst4|inst38|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst38|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst58|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst58|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst48|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst48|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 instance = comp, \inst|inst4|inst76|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst76|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst74|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst74|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst72|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst72|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 instance = comp, \inst|inst4|inst78|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst78|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst69|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst69|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst66|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst66|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst64|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst64|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst70|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst70|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst94|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst94|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst90|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst90|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst92|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst92|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst88|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst88|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst86|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst86|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst83|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst83|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst84|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst84|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 instance = comp, \inst|inst4|inst80|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst80|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 instance = comp, \inst|inst4|inst12|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst12|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 instance = comp, \inst|inst4|inst10|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst10|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 @@ -496,27 +458,29 @@ instance = comp, \inst|inst4|inst16|$00000|auto_generated|result_node[2]~2 , ins instance = comp, \inst|inst4|inst100|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst100|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 instance = comp, \inst|inst4|inst98|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst98|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 instance = comp, \inst|inst4|inst97|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst97|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst102|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst102|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst44|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst44|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst43|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst43|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst40|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst40|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst46|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst46|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst36|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst36|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst34|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst34|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst32|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst32|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 instance = comp, \inst|inst4|inst38|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst38|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst62|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst62|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst76|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst76|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst69|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst69|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst66|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst66|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst60|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst60|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst50|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst50|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst55|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst55|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst52|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst52|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 instance = comp, \inst|inst4|inst64|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst64|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst70|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst70|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst90|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst90|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst88|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst88|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 instance = comp, \inst|inst4|inst94|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst94|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst92|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst92|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 instance = comp, \inst|inst4|inst83|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst83|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst80|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst80|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst86|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst86|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 instance = comp, \inst|inst4|inst84|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst84|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 instance = comp, \inst|inst4|inst12|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst12|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 instance = comp, \inst|inst4|inst10|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst10|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst8|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst8|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 instance = comp, \inst|inst4|inst15|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst15|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst30|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst30|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst4|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst4|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst3|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst3|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst1|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst1|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst6|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst6|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 instance = comp, \inst|inst4|inst26|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst26|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 instance = comp, \inst|inst4|inst29|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst29|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 instance = comp, \inst|inst4|inst24|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst24|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 @@ -526,36 +490,48 @@ instance = comp, \inst|inst4|inst20|$00000|auto_generated|result_node[5]~3 , ins instance = comp, \inst|inst4|inst16|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst16|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 instance = comp, \inst|inst4|inst100|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst100|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 instance = comp, \inst|inst4|inst98|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst98|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst97|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst97|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 instance = comp, \inst|inst4|inst102|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst102|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst44|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst44|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst43|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst43|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 instance = comp, \inst|inst4|inst40|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst40|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst62|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst62|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst46|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst46|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 instance = comp, \inst|inst4|inst58|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst58|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 instance = comp, \inst|inst4|inst60|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst60|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 instance = comp, \inst|inst4|inst56|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst56|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst76|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst76|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst74|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst74|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst72|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst72|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst78|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst78|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst69|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst69|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst55|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst55|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst50|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst50|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst52|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst52|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst48|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst48|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 instance = comp, \inst|inst4|inst66|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst66|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst64|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst64|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst70|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst70|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst90|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst90|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 instance = comp, \inst|inst4|inst88|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst88|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst94|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst94|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst92|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst92|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst83|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst83|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 instance = comp, \inst|inst4|inst80|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst80|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst86|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst86|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst84|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst84|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst97|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst97|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst12|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst12|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst10|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst10|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst8|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst8|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst3|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst3|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst4|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst4|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst1|$00000|auto_generated|result_node[1] , inst|inst4|inst1|$00000|auto_generated|result_node[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst6|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst6|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst26|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst26|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst24|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst24|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst18|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst18|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst44|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst44|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst43|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst43|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst34|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst34|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst58|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst58|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst55|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst55|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst76|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst76|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst74|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst74|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst78|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst78|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 instance = comp, \inst|inst4|inst90|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst90|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 instance = comp, \inst|inst4|inst88|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst88|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 instance = comp, \inst|inst4|inst94|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst94|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 instance = comp, \inst|inst4|inst92|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst92|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst83|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst83|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst80|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst80|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst86|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst86|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst84|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst84|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 instance = comp, \inst|inst4|inst12|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst12|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst10|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst10|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 instance = comp, \inst|inst4|inst8|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst8|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 instance = comp, \inst|inst4|inst15|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst15|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 instance = comp, \inst|inst4|inst4|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst4|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 @@ -563,119 +539,74 @@ instance = comp, \inst|inst4|inst3|$00000|auto_generated|result_node[0]~5 , inst instance = comp, \inst|inst4|inst1|$00000|auto_generated|result_node[0]~4 , inst|inst4|inst1|$00000|auto_generated|result_node[0]~4, gA6_lab3, 1 instance = comp, \inst|inst4|inst6|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst6|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 instance = comp, \inst|inst4|inst30|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst30|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst26|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst26|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst29|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst29|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 instance = comp, \inst|inst4|inst24|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst24|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst22|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst22|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst18|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst18|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst20|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst20|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 instance = comp, \inst|inst4|inst16|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst16|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst100|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst100|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 instance = comp, \inst|inst4|inst98|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst98|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst97|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst97|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst102|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst102|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 instance = comp, \inst|inst4|inst36|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst36|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 instance = comp, \inst|inst4|inst34|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst34|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 instance = comp, \inst|inst4|inst32|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst32|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst60|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst60|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst38|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst38|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst58|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst58|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 instance = comp, \inst|inst4|inst76|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst76|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 instance = comp, \inst|inst4|inst74|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst74|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst78|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst78|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst69|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst69|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~155 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~155, gA6_lab3, 1 +instance = comp, \inst|inst4|inst90|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst90|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst88|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst88|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst94|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst94|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst92|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst92|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst86|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst86|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~153 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~153, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~157 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~157, gA6_lab3, 1 instance = comp, \inst|inst6|Mux4~0_wirecell , inst|inst6|Mux4~0_wirecell, gA6_lab3, 1 instance = comp, \inst|inst4|inst119|auto_generated|aneb_result_wire[0]~_wirecell , inst|inst4|inst119|auto_generated|aneb_result_wire[0]~_wirecell, gA6_lab3, 1 instance = comp, \inst|inst4|inst120|auto_generated|aneb_result_wire[0]~_wirecell , inst|inst4|inst120|auto_generated|aneb_result_wire[0]~_wirecell, gA6_lab3, 1 -instance = comp, \mode[1]~_wirecell , mode[1]~_wirecell, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[13] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[13], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3], gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[0] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[0], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~0, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[1] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[1], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3], gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[0]~5 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[0]~5, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1]~7 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1]~7, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2]~9 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2]~9, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3]~11 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3]~11, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~13 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~13, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[1]~1 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[1]~1, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[2] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[2], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[2]~2 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[2]~2, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~3 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~3, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][6] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][6], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[14] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[14], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~1 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|tdo~1, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[4] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[4], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[5] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[5], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[6] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[6], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3]~16 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3]~16, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~2 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|tdo~2, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~3 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|tdo~3, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|node_ena~0, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~1 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|Equal0~1, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~11 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~11, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~12 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~12, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~0, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~2 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|node_ena~2, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|Equal0~0, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~4 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~4, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~5 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~5, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_proc~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_proc~0, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[1] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[1], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~8 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~8, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~5 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~5, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~6 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~6, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~10 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~10, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~11 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~11, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~12 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~12, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~0, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~0, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~6 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~6, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~15 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~15, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~16 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~16, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[1] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[1], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~7 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~7, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal3~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|Equal3~0, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~8 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~8, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[2] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[2], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~9 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~9, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[1] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[1], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~1 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~1, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[2] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[2], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~8 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~8, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~9 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~9, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~11 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~11, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[3] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[3], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~12 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~12, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[2] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[2], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[3] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[3], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~13 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~13, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~14 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~14, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~15 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~15, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[3] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[3], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~10 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~10, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~11 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~11, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~12 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~12, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~13 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~13, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~13 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~13, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[0], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~4 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~4, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~14 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~14, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~15 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~15, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~16 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~16, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~5 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~5, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~6 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~6, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[2], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[7] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[7], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~6 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~6, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~8 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~8, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~10 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~10, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~12 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~12, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~0, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~2 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~2, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~7 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~7, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~5 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~5, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~9 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~9, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~8 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~8, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~11 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~11, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~11 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~11, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~13 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~13, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~14 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~14, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~15 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~15, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~17 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~17, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6]~17 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6]~17, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~20 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~20, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[7]~19 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[7]~19, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit1a[1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|\adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit1a[1], gA6_lab3, 1 @@ -706,13 +637,11 @@ instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita5 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita5, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita6 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita6, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a36 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a36, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[0], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[0], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[0], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[0], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~2 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~2, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~3 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~3, gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_data_in_reg[0] , auto_signaltap_0|acq_data_in_reg[0], gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_data_in_reg[1] , auto_signaltap_0|acq_data_in_reg[1], gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_data_in_reg[2] , auto_signaltap_0|acq_data_in_reg[2], gA6_lab3, 1 @@ -779,39 +708,19 @@ instance = comp, \auto_signaltap_0|acq_trigger_in_reg[16] , auto_signaltap_0|acq instance = comp, \auto_signaltap_0|acq_trigger_in_reg[17] , auto_signaltap_0|acq_trigger_in_reg[17], gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_trigger_in_reg[18] , auto_signaltap_0|acq_trigger_in_reg[18], gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_trigger_in_reg[19] , auto_signaltap_0|acq_trigger_in_reg[19], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[20] , auto_signaltap_0|acq_trigger_in_reg[20], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[21] , auto_signaltap_0|acq_trigger_in_reg[21], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[22] , auto_signaltap_0|acq_trigger_in_reg[22], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[23] , auto_signaltap_0|acq_trigger_in_reg[23], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[24] , auto_signaltap_0|acq_trigger_in_reg[24], gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_trigger_in_reg[25] , auto_signaltap_0|acq_trigger_in_reg[25], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[26] , auto_signaltap_0|acq_trigger_in_reg[26], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[27] , auto_signaltap_0|acq_trigger_in_reg[27], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[28] , auto_signaltap_0|acq_trigger_in_reg[28], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[29] , auto_signaltap_0|acq_trigger_in_reg[29], gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_trigger_in_reg[30] , auto_signaltap_0|acq_trigger_in_reg[30], gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_trigger_in_reg[31] , auto_signaltap_0|acq_trigger_in_reg[31], gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_trigger_in_reg[32] , auto_signaltap_0|acq_trigger_in_reg[32], gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_trigger_in_reg[33] , auto_signaltap_0|acq_trigger_in_reg[33], gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_trigger_in_reg[34] , auto_signaltap_0|acq_trigger_in_reg[34], gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_trigger_in_reg[35] , auto_signaltap_0|acq_trigger_in_reg[35], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[36] , auto_signaltap_0|acq_trigger_in_reg[36], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[37] , auto_signaltap_0|acq_trigger_in_reg[37], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[38] , auto_signaltap_0|acq_trigger_in_reg[38], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[39] , auto_signaltap_0|acq_trigger_in_reg[39], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[40] , auto_signaltap_0|acq_trigger_in_reg[40], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[41] , auto_signaltap_0|acq_trigger_in_reg[41], gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_trigger_in_reg[42] , auto_signaltap_0|acq_trigger_in_reg[42], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[43] , auto_signaltap_0|acq_trigger_in_reg[43], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[44] , auto_signaltap_0|acq_trigger_in_reg[44], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[45] , auto_signaltap_0|acq_trigger_in_reg[45], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[1], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:collecting_post_data_var , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:collecting_post_data_var, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~0, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[1], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~0, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[1], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~10 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~10, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[0], gA6_lab3, 1 @@ -822,20 +731,21 @@ instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~12 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~12, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|clear_signal , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|clear_signal, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~13 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~13, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|last_level_delayed, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~0, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_mode_ff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_mode_ff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:done, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~0, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~1, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~1, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[2], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[0], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[3], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~0, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~1, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|collecting_post_data_var~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|collecting_post_data_var~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[2], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~1, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2], gA6_lab3, 1 @@ -846,48 +756,21 @@ instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|Add0~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|Add0~0, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|Add0~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|Add0~1, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|last_level_delayed~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|regoutff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|regoutff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|regoutff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|last_level_delayed~1, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|regoutff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|regoutff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|regoutff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|regoutff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~2 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|last_level_delayed~2, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|regoutff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|regoutff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|regoutff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|regoutff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~3 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|last_level_delayed~3, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|regoutff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|regoutff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|regoutff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|regoutff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~4 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|last_level_delayed~4, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~5 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|last_level_delayed~5, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|regoutff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|regoutff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|regoutff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|regoutff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~6 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|last_level_delayed~6, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|regoutff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|regoutff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|regoutff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|regoutff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~7 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|last_level_delayed~7, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|regoutff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|regoutff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|regoutff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|regoutff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~8 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|last_level_delayed~8, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:18:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:18:sm1|regoutff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|regoutff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:16:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:16:sm1|regoutff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|regoutff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~9 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|last_level_delayed~9, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~10 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|last_level_delayed~10, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|regoutff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|regoutff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|regoutff, gA6_lab3, 1 @@ -908,47 +791,30 @@ instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_con instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|regoutff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~14 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|last_level_delayed~14, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~15 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|last_level_delayed~15, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~16 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|last_level_delayed~16, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_mode_ff~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_mode_ff~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~0, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:next_address[1], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[4] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:next_address[4], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[5] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:next_address[5], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[6] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:next_address[6], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:next_address[2], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[3], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[1], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~2 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~2, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][1], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~10 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~10, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][1], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[3], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~2 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~2, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~16 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~16, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[3], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~17 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~17, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|holdff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|holdff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|holdff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|holdff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|holdff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|holdff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|holdff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|holdff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|holdff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|holdff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|holdff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|holdff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|holdff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|holdff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|holdff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|holdff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|holdff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|holdff, gA6_lab3, 1 @@ -973,13 +839,11 @@ instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_con instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|holdff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|holdff, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~5 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~5, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~6 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~6, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[4] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[4], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[2], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~3 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~3, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~6 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~6, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[4] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[4], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~1, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~3 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~3, gA6_lab3, 1 @@ -988,35 +852,24 @@ instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[5] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[5], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[3], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~4 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~4, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[5] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[5], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[5] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[5], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~4 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~4, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[6] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[6], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[4] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[4], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~5 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~5, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[5] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[5], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[6] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[6], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~5 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~5, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[6] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[6], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~5 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~5, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[7] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[7], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[5] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[5], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~6 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~6, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[5]~5 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[5]~5, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[6] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[6], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[7] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[7], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~6 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~6, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[7] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[7], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~6 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~6, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[8] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[8], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[6] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[6], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~7 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~7, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[4] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[4], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][6] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][6], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][6] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][6], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[6]~6 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[6]~6, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[7] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[7], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[8] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[8], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~7 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~7, gA6_lab3, 1 @@ -1024,8 +877,6 @@ instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_ instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~7 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~7, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[9] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[9], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~8 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~8, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[4] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:last_trigger_address_var[4], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[5] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[5], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][7] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][7], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][7] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][7], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[7]~7 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[7]~7, gA6_lab3, 1 @@ -1036,7 +887,6 @@ instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_ instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~8 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~8, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[10] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[10], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~9 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~9, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[5] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:last_trigger_address_var[5], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[6] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[6], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][8] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][8], gA6_lab3, 1 @@ -1436,26 +1286,10 @@ instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_con instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:18:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:18:sm1|p_match_out~1, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|p_match_out~0, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|p_match_out~1, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~1, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~1, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~1, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~1, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~1, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|p_match_out~0, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|p_match_out~1, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~1, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|p_match_out~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|p_match_out~1, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|p_match_out~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|p_match_out~1, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~1, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|p_match_out~0, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|p_match_out~1, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|p_match_out~0, gA6_lab3, 1 @@ -1468,208 +1302,324 @@ instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_con instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|p_match_out~1, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|p_match_out~0, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|p_match_out~1, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~1, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~1, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~1, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~1, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~1, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|p_match_out~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|p_match_out~1, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|p_match_out~0, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|p_match_out~1, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|p_match_out~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|p_match_out~1, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|p_match_out~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|p_match_out~1, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~1, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~0, gA6_lab3, 1 instance = comp, \altera_internal_jtag~TCKUTAPclkctrl , altera_internal_jtag~TCKUTAPclkctrl, gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_data_in_reg[38]~feeder , auto_signaltap_0|acq_data_in_reg[38]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[37]~feeder , auto_signaltap_0|acq_trigger_in_reg[37]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_data_in_reg[37]~feeder , auto_signaltap_0|acq_data_in_reg[37]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[35]~feeder , auto_signaltap_0|acq_trigger_in_reg[35]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_data_in_reg[36]~feeder , auto_signaltap_0|acq_data_in_reg[36]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_data_in_reg[34]~feeder , auto_signaltap_0|acq_data_in_reg[34]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[34]~feeder , auto_signaltap_0|acq_trigger_in_reg[34]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_data_in_reg[33]~feeder , auto_signaltap_0|acq_data_in_reg[33]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_trigger_in_reg[33]~feeder , auto_signaltap_0|acq_trigger_in_reg[33]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_data_in_reg[43]~feeder , auto_signaltap_0|acq_data_in_reg[43]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_data_in_reg[42]~feeder , auto_signaltap_0|acq_data_in_reg[42]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_data_in_reg[45]~feeder , auto_signaltap_0|acq_data_in_reg[45]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_data_in_reg[41]~feeder , auto_signaltap_0|acq_data_in_reg[41]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_data_in_reg[40]~feeder , auto_signaltap_0|acq_data_in_reg[40]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_data_in_reg[15]~feeder , auto_signaltap_0|acq_data_in_reg[15]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_trigger_in_reg[15]~feeder , auto_signaltap_0|acq_trigger_in_reg[15]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[14]~feeder , auto_signaltap_0|acq_trigger_in_reg[14]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_trigger_in_reg[13]~feeder , auto_signaltap_0|acq_trigger_in_reg[13]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_data_in_reg[13]~feeder , auto_signaltap_0|acq_data_in_reg[13]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_data_in_reg[12]~feeder , auto_signaltap_0|acq_data_in_reg[12]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[12]~feeder , auto_signaltap_0|acq_trigger_in_reg[12]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_data_in_reg[10]~feeder , auto_signaltap_0|acq_data_in_reg[10]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_trigger_in_reg[10]~feeder , auto_signaltap_0|acq_trigger_in_reg[10]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_data_in_reg[10]~feeder , auto_signaltap_0|acq_data_in_reg[10]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[9]~feeder , auto_signaltap_0|acq_trigger_in_reg[9]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_data_in_reg[9]~feeder , auto_signaltap_0|acq_data_in_reg[9]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_data_in_reg[28]~feeder , auto_signaltap_0|acq_data_in_reg[28]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[28]~feeder , auto_signaltap_0|acq_trigger_in_reg[28]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_data_in_reg[25]~feeder , auto_signaltap_0|acq_data_in_reg[25]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[22]~feeder , auto_signaltap_0|acq_trigger_in_reg[22]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_data_in_reg[23]~feeder , auto_signaltap_0|acq_data_in_reg[23]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_data_in_reg[26]~feeder , auto_signaltap_0|acq_data_in_reg[26]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[25]~feeder , auto_signaltap_0|acq_trigger_in_reg[25]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst5|dffs[4]~feeder , inst|inst4|inst5|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst31|dffs[4]~feeder , inst|inst4|inst31|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst27|dffs[4]~feeder , inst|inst4|inst27|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst28|dffs[4]~feeder , inst|inst4|inst28|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst25|dffs[4]~feeder , inst|inst4|inst25|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst57|dffs[4]~feeder , inst|inst4|inst57|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst53|dffs[4]~feeder , inst|inst4|inst53|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst77|dffs[4]~feeder , inst|inst4|inst77|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst75|dffs[4]~feeder , inst|inst4|inst75|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst73|dffs[4]~feeder , inst|inst4|inst73|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst79|dffs[4]~feeder , inst|inst4|inst79|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst68|dffs[4]~feeder , inst|inst4|inst68|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst67|dffs[4]~feeder , inst|inst4|inst67|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst65|dffs[4]~feeder , inst|inst4|inst65|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst71|dffs[4]~feeder , inst|inst4|inst71|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst82|dffs[4]~feeder , inst|inst4|inst82|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst81|dffs[4]~feeder , inst|inst4|inst81|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst87|dffs[4]~feeder , inst|inst4|inst87|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst85|dffs[4]~feeder , inst|inst4|inst85|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst13|dffs[3]~feeder , inst|inst4|inst13|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst11|dffs[3]~feeder , inst|inst4|inst11|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst9|dffs[3]~feeder , inst|inst4|inst9|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst5|dffs[3]~feeder , inst|inst4|inst5|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst2|dffs[3]~feeder , inst|inst4|inst2|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst|dffs[3]~feeder , inst|inst4|inst|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst7|dffs[3]~feeder , inst|inst4|inst7|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst25|dffs[3]~feeder , inst|inst4|inst25|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst19|dffs[3]~feeder , inst|inst4|inst19|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst23|dffs[3]~feeder , inst|inst4|inst23|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst21|dffs[3]~feeder , inst|inst4|inst21|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst101|dffs[3]~feeder , inst|inst4|inst101|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst99|dffs[3]~feeder , inst|inst4|inst99|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst103|dffs[3]~feeder , inst|inst4|inst103|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst45|dffs[3]~feeder , inst|inst4|inst45|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst42|dffs[3]~feeder , inst|inst4|inst42|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst41|dffs[3]~feeder , inst|inst4|inst41|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst47|dffs[3]~feeder , inst|inst4|inst47|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst37|dffs[3]~feeder , inst|inst4|inst37|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst35|dffs[3]~feeder , inst|inst4|inst35|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst33|dffs[3]~feeder , inst|inst4|inst33|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst39|dffs[3]~feeder , inst|inst4|inst39|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst59|dffs[3]~feeder , inst|inst4|inst59|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst49|dffs[3]~feeder , inst|inst4|inst49|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst77|dffs[3]~feeder , inst|inst4|inst77|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst79|dffs[3]~feeder , inst|inst4|inst79|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst81|dffs[3]~feeder , inst|inst4|inst81|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst13|dffs[2]~feeder , inst|inst4|inst13|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst11|dffs[2]~feeder , inst|inst4|inst11|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst9|dffs[2]~feeder , inst|inst4|inst9|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst14|dffs[2]~feeder , inst|inst4|inst14|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst5|dffs[2]~feeder , inst|inst4|inst5|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst2|dffs[2]~feeder , inst|inst4|inst2|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst|dffs[2]~feeder , inst|inst4|inst|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst7|dffs[2]~feeder , inst|inst4|inst7|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst31|dffs[2]~feeder , inst|inst4|inst31|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst27|dffs[2]~feeder , inst|inst4|inst27|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst23|dffs[2]~feeder , inst|inst4|inst23|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst19|dffs[2]~feeder , inst|inst4|inst19|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst28|dffs[2]~feeder , inst|inst4|inst28|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst25|dffs[2]~feeder , inst|inst4|inst25|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst21|dffs[2]~feeder , inst|inst4|inst21|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst17|dffs[2]~feeder , inst|inst4|inst17|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst99|dffs[2]~feeder , inst|inst4|inst99|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst96|dffs[2]~feeder , inst|inst4|inst96|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst39|dffs[2]~feeder , inst|inst4|inst39|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst61|dffs[2]~feeder , inst|inst4|inst61|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst54|dffs[2]~feeder , inst|inst4|inst54|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst53|dffs[2]~feeder , inst|inst4|inst53|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst91|dffs[2]~feeder , inst|inst4|inst91|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst89|dffs[2]~feeder , inst|inst4|inst89|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst95|dffs[2]~feeder , inst|inst4|inst95|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst93|dffs[2]~feeder , inst|inst4|inst93|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst82|dffs[2]~feeder , inst|inst4|inst82|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst81|dffs[2]~feeder , inst|inst4|inst81|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst87|dffs[2]~feeder , inst|inst4|inst87|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst85|dffs[2]~feeder , inst|inst4|inst85|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst13|dffs[5]~feeder , inst|inst4|inst13|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst11|dffs[5]~feeder , inst|inst4|inst11|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst9|dffs[5]~feeder , inst|inst4|inst9|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst14|dffs[5]~feeder , inst|inst4|inst14|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst5|dffs[5]~feeder , inst|inst4|inst5|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst2|dffs[5]~feeder , inst|inst4|inst2|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst|dffs[5]~feeder , inst|inst4|inst|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst7|dffs[5]~feeder , inst|inst4|inst7|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst27|dffs[5]~feeder , inst|inst4|inst27|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst28|dffs[5]~feeder , inst|inst4|inst28|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst25|dffs[5]~feeder , inst|inst4|inst25|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst23|dffs[5]~feeder , inst|inst4|inst23|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst19|dffs[5]~feeder , inst|inst4|inst19|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst21|dffs[5]~feeder , inst|inst4|inst21|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst17|dffs[5]~feeder , inst|inst4|inst17|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst101|dffs[5]~feeder , inst|inst4|inst101|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst99|dffs[5]~feeder , inst|inst4|inst99|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst103|dffs[5]~feeder , inst|inst4|inst103|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst45|dffs[5]~feeder , inst|inst4|inst45|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst42|dffs[5]~feeder , inst|inst4|inst42|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst41|dffs[5]~feeder , inst|inst4|inst41|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst47|dffs[5]~feeder , inst|inst4|inst47|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst59|dffs[5]~feeder , inst|inst4|inst59|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst61|dffs[5]~feeder , inst|inst4|inst61|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst57|dffs[5]~feeder , inst|inst4|inst57|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst54|dffs[5]~feeder , inst|inst4|inst54|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst51|dffs[5]~feeder , inst|inst4|inst51|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst53|dffs[5]~feeder , inst|inst4|inst53|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst49|dffs[5]~feeder , inst|inst4|inst49|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst89|dffs[5]~feeder , inst|inst4|inst89|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst11|dffs[1]~feeder , inst|inst4|inst11|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst9|dffs[1]~feeder , inst|inst4|inst9|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst2|dffs[1]~feeder , inst|inst4|inst2|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst5|dffs[1]~feeder , inst|inst4|inst5|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst|dffs[1]~feeder , inst|inst4|inst|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst7|dffs[1]~feeder , inst|inst4|inst7|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst27|dffs[1]~feeder , inst|inst4|inst27|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst25|dffs[1]~feeder , inst|inst4|inst25|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst19|dffs[1]~feeder , inst|inst4|inst19|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst45|dffs[1]~feeder , inst|inst4|inst45|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst42|dffs[1]~feeder , inst|inst4|inst42|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst35|dffs[1]~feeder , inst|inst4|inst35|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst77|dffs[1]~feeder , inst|inst4|inst77|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst75|dffs[1]~feeder , inst|inst4|inst75|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst79|dffs[1]~feeder , inst|inst4|inst79|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst91|dffs[1]~feeder , inst|inst4|inst91|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst89|dffs[1]~feeder , inst|inst4|inst89|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst93|dffs[1]~feeder , inst|inst4|inst93|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst82|dffs[1]~feeder , inst|inst4|inst82|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst87|dffs[1]~feeder , inst|inst4|inst87|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst85|dffs[1]~feeder , inst|inst4|inst85|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst9|dffs[0]~feeder , inst|inst4|inst9|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst14|dffs[0]~feeder , inst|inst4|inst14|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst5|dffs[0]~feeder , inst|inst4|inst5|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst2|dffs[0]~feeder , inst|inst4|inst2|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst|dffs[0]~feeder , inst|inst4|inst|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst7|dffs[0]~feeder , inst|inst4|inst7|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst31|dffs[0]~feeder , inst|inst4|inst31|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst25|dffs[0]~feeder , inst|inst4|inst25|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst17|dffs[0]~feeder , inst|inst4|inst17|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst101|dffs[0]~feeder , inst|inst4|inst101|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst99|dffs[0]~feeder , inst|inst4|inst99|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst96|dffs[0]~feeder , inst|inst4|inst96|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst103|dffs[0]~feeder , inst|inst4|inst103|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst37|dffs[0]~feeder , inst|inst4|inst37|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst35|dffs[0]~feeder , inst|inst4|inst35|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst33|dffs[0]~feeder , inst|inst4|inst33|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst77|dffs[0]~feeder , inst|inst4|inst77|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst75|dffs[0]~feeder , inst|inst4|inst75|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst91|dffs[0]~feeder , inst|inst4|inst91|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst89|dffs[0]~feeder , inst|inst4|inst89|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst95|dffs[0]~feeder , inst|inst4|inst95|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst93|dffs[0]~feeder , inst|inst4|inst93|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst87|dffs[0]~feeder , inst|inst4|inst87|dffs[0]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_data_in_reg[22]~feeder , auto_signaltap_0|acq_data_in_reg[22]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_data_in_reg[20]~feeder , auto_signaltap_0|acq_data_in_reg[20]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[20]~feeder , auto_signaltap_0|acq_trigger_in_reg[20]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_data_in_reg[16]~feeder , auto_signaltap_0|acq_data_in_reg[16]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[17]~feeder , auto_signaltap_0|acq_trigger_in_reg[17]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_data_in_reg[18]~feeder , auto_signaltap_0|acq_data_in_reg[18]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[18]~feeder , auto_signaltap_0|acq_trigger_in_reg[18]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_data_in_reg[17]~feeder , auto_signaltap_0|acq_data_in_reg[17]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[17]~feeder , auto_signaltap_0|acq_trigger_in_reg[17]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_data_in_reg[21]~feeder , auto_signaltap_0|acq_data_in_reg[21]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_data_in_reg[24]~feeder , auto_signaltap_0|acq_data_in_reg[24]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_data_in_reg[11]~feeder , auto_signaltap_0|acq_data_in_reg[11]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_trigger_in_reg[11]~feeder , auto_signaltap_0|acq_trigger_in_reg[11]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_data_in_reg[8]~feeder , auto_signaltap_0|acq_data_in_reg[8]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_trigger_in_reg[8]~feeder , auto_signaltap_0|acq_trigger_in_reg[8]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[29]~feeder , auto_signaltap_0|acq_trigger_in_reg[29]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_data_in_reg[7]~feeder , auto_signaltap_0|acq_data_in_reg[7]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[7]~feeder , auto_signaltap_0|acq_trigger_in_reg[7]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_data_in_reg[29]~feeder , auto_signaltap_0|acq_data_in_reg[29]~feeder, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[3]~feeder , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[3]~feeder, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~feeder , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~feeder, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4]~feeder , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4]~feeder, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5]~feeder , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5]~feeder, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][6]~feeder , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][6]~feeder, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~feeder , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~feeder, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~feeder , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~feeder, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[1]~feeder , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[1]~feeder, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[1]~feeder , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[1]~feeder, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[2]~feeder , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[2]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][5]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][5]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][7]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][7]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][6]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][6]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][8]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][8]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][9]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][9]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][10]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][10]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][11]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][11]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][15]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][15]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][17]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][17]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][19]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][19]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][18]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][18]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][21]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][21]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][23]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][23]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][29]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][29]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][34]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][34]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][36]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][36]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][37]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][37]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][38]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][38]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][39]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][39]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][40]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][40]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][41]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][41]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][42]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][42]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][43]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][43]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][44]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][44]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][45]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][45]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:1:sm1|holdff~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:2:sm1|holdff~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:3:sm1|holdff~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:4:sm1|holdff~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:5:sm1|holdff~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:6:sm1|holdff~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:7:sm1|holdff~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:8:sm1|holdff~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:9:sm1|holdff~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:10:sm1|holdff~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:12:sm1|holdff~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:11:sm1|holdff~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:13:sm1|holdff~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:14:sm1|holdff~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:15:sm1|holdff~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:16:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:16:sm1|holdff~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:17:sm1|holdff~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:19:sm1|holdff~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|holdff~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|holdff~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|holdff~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|holdff~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:25:sm1|holdff~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:30:sm1|holdff~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|holdff~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:31:sm1|holdff~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:32:sm1|holdff~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:33:sm1|holdff~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:34:sm1|holdff~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:35:sm1|holdff~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|holdff~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|holdff~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:42:sm1|holdff~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[0]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[0]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[1]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[1]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[2]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[2]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[3]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[3]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[4]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[4]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[5]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[5]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[6]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[6]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[1]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[1]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[4]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[4]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[6]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[6]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[6]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[6]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][1]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][1]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][10]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][10]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][11]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][11]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][11]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][11]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][13]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][13]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][13]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][13]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][14]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][14]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][14]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][14]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][0]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][0]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][1]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][1]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][0]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][0]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][2]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][2]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][3]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][3]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][1]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][1]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][2]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][2]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][4]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][4]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][5]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][5]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][4]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][4]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][3]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][3]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][6]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][6]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][5]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][5]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][7]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][7]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][5]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][5]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][8]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][8]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][6]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][6]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][9]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][9]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][7]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][7]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][10]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][10]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][9]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][9]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][11]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][11]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][9]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][9]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][12]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][12]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][10]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][10]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][13]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][13]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][12]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][12]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][11]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][11]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][13]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][13]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][14]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][14]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][13]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][13]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][16]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][16]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][15]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][15]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][14]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][14]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][17]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][17]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][18]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][18]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][17]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][17]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][19]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][19]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][18]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][18]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][19]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][19]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][17]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][17]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][20]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][20]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][18]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][18]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][21]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][21]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][20]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][20]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][22]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][22]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][21]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][21]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][20]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][20]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][23]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][23]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][21]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][21]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][24]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][24]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][23]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][23]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][25]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][25]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24]~feeder, gA6_lab3, 1 @@ -1679,30 +1629,35 @@ instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_dat instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][27]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][27]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][28]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][28]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][27]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][27]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][26]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][26]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][29]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][29]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][28]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][28]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][30]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][30]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][29]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][29]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][31]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][31]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][30]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][30]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][32]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][32]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][31]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][31]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][33]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][33]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][32]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][32]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][31]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][31]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][34]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][34]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][35]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][35]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][34]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][34]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][33]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][33]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][36]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][36]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][35]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][35]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][36]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][36]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][37]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][37]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][35]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][35]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][38]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][38]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][37]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][37]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][36]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][36]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][39]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][39]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][38]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][38]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][37]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][37]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][40]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][40]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][39]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][39]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][41]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][41]~feeder, gA6_lab3, 1 @@ -1710,23 +1665,19 @@ instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_dat instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][39]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][39]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][42]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][42]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][41]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][41]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][40]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][40]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][43]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][43]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][43]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][43]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][41]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][41]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][42]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][42]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][45]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][45]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][44]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][44]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][43]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][43]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][45]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][45]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[5]~feeder , auto_signaltap_0|acq_trigger_in_reg[5]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_data_in_reg[5]~feeder , auto_signaltap_0|acq_data_in_reg[5]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[4]~feeder , auto_signaltap_0|acq_trigger_in_reg[4]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[0]~feeder , auto_signaltap_0|acq_trigger_in_reg[0]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_data_in_reg[0]~feeder , auto_signaltap_0|acq_data_in_reg[0]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][45]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][45]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][44]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][44]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_data_in_reg[2]~feeder , auto_signaltap_0|acq_data_in_reg[2]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[2]~feeder , auto_signaltap_0|acq_trigger_in_reg[2]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[3]~feeder , auto_signaltap_0|acq_trigger_in_reg[3]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_data_in_reg[3]~feeder , auto_signaltap_0|acq_data_in_reg[3]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|acq_data_in_reg[32]~feeder , auto_signaltap_0|acq_data_in_reg[32]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_data_in_reg[31]~feeder , auto_signaltap_0|acq_data_in_reg[31]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[31]~feeder , auto_signaltap_0|acq_trigger_in_reg[31]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_trigger_in_reg[30]~feeder , auto_signaltap_0|acq_trigger_in_reg[30]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|acq_data_in_reg[6]~feeder , auto_signaltap_0|acq_data_in_reg[6]~feeder, gA6_lab3, 1 instance = comp, \inst|inst4|inst115|auto_generated|counter_comb_bita0 , inst|inst4|inst115|auto_generated|counter_comb_bita0, gA6_lab3, 1 instance = comp, \inst|inst12|auto_generated|counter_comb_bita0 , inst|inst12|auto_generated|counter_comb_bita0, gA6_lab3, 1 instance = comp, \inst|inst12|auto_generated|counter_comb_bita1 , inst|inst12|auto_generated|counter_comb_bita1, gA6_lab3, 1 @@ -1734,9 +1685,6 @@ instance = comp, \rst~I , rst, gA6_lab3, 1 instance = comp, \inst|inst12|auto_generated|counter_reg_bit1a[1] , inst|inst12|auto_generated|counter_reg_bit1a[1], gA6_lab3, 1 instance = comp, \inst|inst12|auto_generated|counter_comb_bita3 , inst|inst12|auto_generated|counter_comb_bita3, gA6_lab3, 1 instance = comp, \inst|inst12|auto_generated|counter_reg_bit1a[3] , inst|inst12|auto_generated|counter_reg_bit1a[3], gA6_lab3, 1 -instance = comp, \inst|inst12|auto_generated|counter_comb_bita4 , inst|inst12|auto_generated|counter_comb_bita4, gA6_lab3, 1 -instance = comp, \inst|inst12|auto_generated|counter_reg_bit1a[4] , inst|inst12|auto_generated|counter_reg_bit1a[4], gA6_lab3, 1 -instance = comp, \inst|inst11|auto_generated|aneb_result_wire[0]~5 , inst|inst11|auto_generated|aneb_result_wire[0]~5, gA6_lab3, 1 instance = comp, \inst|inst12|auto_generated|counter_comb_bita5 , inst|inst12|auto_generated|counter_comb_bita5, gA6_lab3, 1 instance = comp, \inst|inst12|auto_generated|counter_reg_bit1a[5] , inst|inst12|auto_generated|counter_reg_bit1a[5], gA6_lab3, 1 instance = comp, \inst|inst12|auto_generated|counter_comb_bita6 , inst|inst12|auto_generated|counter_comb_bita6, gA6_lab3, 1 @@ -1766,23 +1714,47 @@ instance = comp, \inst|inst11|auto_generated|aneb_result_wire[0]~0 , inst|inst11 instance = comp, \inst|inst11|auto_generated|aneb_result_wire[0]~4 , inst|inst11|auto_generated|aneb_result_wire[0]~4, gA6_lab3, 1 instance = comp, \inst|inst12|auto_generated|counter_reg_bit1a[0] , inst|inst12|auto_generated|counter_reg_bit1a[0], gA6_lab3, 1 instance = comp, \inst|inst11|auto_generated|aneb_result_wire[0] , inst|inst11|auto_generated|aneb_result_wire[0], gA6_lab3, 1 -instance = comp, \inst|inst4|inst115|auto_generated|counter_reg_bit1a[0]~6 , inst|inst4|inst115|auto_generated|counter_reg_bit1a[0]~6, gA6_lab3, 1 instance = comp, \mode[0]~I , mode[0], gA6_lab3, 1 -instance = comp, \mode[1]~I , mode[1], gA6_lab3, 1 instance = comp, \inst|inst|auto_generated|counter_comb_bita0 , inst|inst|auto_generated|counter_comb_bita0, gA6_lab3, 1 instance = comp, \button~I , button, gA6_lab3, 1 instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[0] , inst|inst|auto_generated|counter_reg_bit1a[0], gA6_lab3, 1 +instance = comp, \inst|inst1|auto_generated|aneb_result_wire[0]~8 , inst|inst1|auto_generated|aneb_result_wire[0]~8, gA6_lab3, 1 instance = comp, \inst|inst|auto_generated|counter_comb_bita1 , inst|inst|auto_generated|counter_comb_bita1, gA6_lab3, 1 instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[1] , inst|inst|auto_generated|counter_reg_bit1a[1], gA6_lab3, 1 instance = comp, \inst|inst|auto_generated|counter_comb_bita3 , inst|inst|auto_generated|counter_comb_bita3, gA6_lab3, 1 instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[3] , inst|inst|auto_generated|counter_reg_bit1a[3], gA6_lab3, 1 -instance = comp, \inst|inst|auto_generated|counter_comb_bita4 , inst|inst|auto_generated|counter_comb_bita4, gA6_lab3, 1 -instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[4] , inst|inst|auto_generated|counter_reg_bit1a[4], gA6_lab3, 1 +instance = comp, \inst|inst|auto_generated|counter_comb_bita5 , inst|inst|auto_generated|counter_comb_bita5, gA6_lab3, 1 +instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[5] , inst|inst|auto_generated|counter_reg_bit1a[5], gA6_lab3, 1 +instance = comp, \inst|inst|auto_generated|counter_comb_bita6 , inst|inst|auto_generated|counter_comb_bita6, gA6_lab3, 1 +instance = comp, \inst|inst|auto_generated|counter_comb_bita7 , inst|inst|auto_generated|counter_comb_bita7, gA6_lab3, 1 +instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[7] , inst|inst|auto_generated|counter_reg_bit1a[7], gA6_lab3, 1 +instance = comp, \inst|inst|auto_generated|counter_comb_bita8 , inst|inst|auto_generated|counter_comb_bita8, gA6_lab3, 1 +instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[8] , inst|inst|auto_generated|counter_reg_bit1a[8], gA6_lab3, 1 +instance = comp, \inst|inst|auto_generated|counter_comb_bita9 , inst|inst|auto_generated|counter_comb_bita9, gA6_lab3, 1 +instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[9] , inst|inst|auto_generated|counter_reg_bit1a[9], gA6_lab3, 1 +instance = comp, \inst|inst|auto_generated|counter_comb_bita10 , inst|inst|auto_generated|counter_comb_bita10, gA6_lab3, 1 +instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[10] , inst|inst|auto_generated|counter_reg_bit1a[10], gA6_lab3, 1 +instance = comp, \inst|inst|auto_generated|counter_comb_bita11 , inst|inst|auto_generated|counter_comb_bita11, gA6_lab3, 1 +instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[11] , inst|inst|auto_generated|counter_reg_bit1a[11], gA6_lab3, 1 +instance = comp, \inst|inst|auto_generated|counter_comb_bita12 , inst|inst|auto_generated|counter_comb_bita12, gA6_lab3, 1 +instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[12] , inst|inst|auto_generated|counter_reg_bit1a[12], gA6_lab3, 1 +instance = comp, \inst|inst1|auto_generated|aneb_result_wire[0]~4 , inst|inst1|auto_generated|aneb_result_wire[0]~4, gA6_lab3, 1 +instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[6] , inst|inst|auto_generated|counter_reg_bit1a[6], gA6_lab3, 1 instance = comp, \inst|inst1|auto_generated|aneb_result_wire[0]~5 , inst|inst1|auto_generated|aneb_result_wire[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst|auto_generated|counter_comb_bita14 , inst|inst|auto_generated|counter_comb_bita14, gA6_lab3, 1 +instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[14] , inst|inst|auto_generated|counter_reg_bit1a[14], gA6_lab3, 1 +instance = comp, \inst|inst|auto_generated|counter_comb_bita17 , inst|inst|auto_generated|counter_comb_bita17, gA6_lab3, 1 +instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[17] , inst|inst|auto_generated|counter_reg_bit1a[17], gA6_lab3, 1 +instance = comp, \inst|inst|auto_generated|counter_comb_bita18 , inst|inst|auto_generated|counter_comb_bita18, gA6_lab3, 1 +instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[18] , inst|inst|auto_generated|counter_reg_bit1a[18], gA6_lab3, 1 +instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[19] , inst|inst|auto_generated|counter_reg_bit1a[19], gA6_lab3, 1 +instance = comp, \inst|inst1|auto_generated|aneb_result_wire[0]~2 , inst|inst1|auto_generated|aneb_result_wire[0]~2, gA6_lab3, 1 instance = comp, \inst|inst1|auto_generated|aneb_result_wire[0]~6 , inst|inst1|auto_generated|aneb_result_wire[0]~6, gA6_lab3, 1 instance = comp, \inst|inst4|inst109 , inst|inst4|inst109, gA6_lab3, 1 +instance = comp, \inst|inst4|inst115|auto_generated|counter_reg_bit1a[0]~6 , inst|inst4|inst115|auto_generated|counter_reg_bit1a[0]~6, gA6_lab3, 1 instance = comp, \inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1 , inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst108 , inst|inst4|inst108, gA6_lab3, 1 +instance = comp, \inst|inst1|auto_generated|aneb_result_wire[0] , inst|inst1|auto_generated|aneb_result_wire[0], gA6_lab3, 1 +instance = comp, \inst|inst4|inst107 , inst|inst4|inst107, gA6_lab3, 1 instance = comp, \inst|inst4|inst115|auto_generated|counter_comb_bita1 , inst|inst4|inst115|auto_generated|counter_comb_bita1, gA6_lab3, 1 instance = comp, \inst|inst4|inst115|auto_generated|counter_reg_bit1a[1]~5 , inst|inst4|inst115|auto_generated|counter_reg_bit1a[1]~5, gA6_lab3, 1 instance = comp, \inst|inst4|inst115|auto_generated|counter_reg_bit1a[1] , inst|inst4|inst115|auto_generated|counter_reg_bit1a[1], gA6_lab3, 1 @@ -1790,8 +1762,6 @@ instance = comp, \inst|inst4|inst115|auto_generated|counter_comb_bita2 , inst|in instance = comp, \inst|inst4|inst115|auto_generated|counter_reg_bit1a[2]~3 , inst|inst4|inst115|auto_generated|counter_reg_bit1a[2]~3, gA6_lab3, 1 instance = comp, \inst|inst4|inst115|auto_generated|counter_reg_bit1a[2] , inst|inst4|inst115|auto_generated|counter_reg_bit1a[2], gA6_lab3, 1 instance = comp, \inst|inst4|inst115|auto_generated|counter_comb_bita3 , inst|inst4|inst115|auto_generated|counter_comb_bita3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~4 , inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst115|auto_generated|counter_reg_bit1a[3] , inst|inst4|inst115|auto_generated|counter_reg_bit1a[3], gA6_lab3, 1 instance = comp, \inst|inst4|inst115|auto_generated|counter_comb_bita4 , inst|inst4|inst115|auto_generated|counter_comb_bita4, gA6_lab3, 1 instance = comp, \inst|inst4|inst115|auto_generated|counter_reg_bit1a[4]~2 , inst|inst4|inst115|auto_generated|counter_reg_bit1a[4]~2, gA6_lab3, 1 instance = comp, \inst|inst4|inst115|auto_generated|counter_reg_bit1a[4] , inst|inst4|inst115|auto_generated|counter_reg_bit1a[4], gA6_lab3, 1 @@ -1800,12 +1770,14 @@ instance = comp, \inst|inst4|inst115|auto_generated|counter_reg_bit1a[5]~0 , ins instance = comp, \inst|inst4|inst115|auto_generated|counter_reg_bit1a[5] , inst|inst4|inst115|auto_generated|counter_reg_bit1a[5], gA6_lab3, 1 instance = comp, \inst|inst4|inst119|auto_generated|aneb_result_wire[0] , inst|inst4|inst119|auto_generated|aneb_result_wire[0], gA6_lab3, 1 instance = comp, \inst|inst4|inst122~0 , inst|inst4|inst122~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst~2 , inst|inst4|inst124|inst~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst115|auto_generated|_~0 , inst|inst4|inst115|auto_generated|_~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst115|auto_generated|_~1 , inst|inst4|inst115|auto_generated|_~1, gA6_lab3, 1 instance = comp, \inst|inst4|inst115|auto_generated|counter_reg_bit1a[0] , inst|inst4|inst115|auto_generated|counter_reg_bit1a[0], gA6_lab3, 1 instance = comp, \inst|inst4|inst119|auto_generated|aneb_result_wire[0]~0 , inst|inst4|inst119|auto_generated|aneb_result_wire[0]~0, gA6_lab3, 1 instance = comp, \inst|inst4|inst120|auto_generated|aneb_result_wire[0] , inst|inst4|inst120|auto_generated|aneb_result_wire[0], gA6_lab3, 1 -instance = comp, \inst|inst4|inst8|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst8|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \mode[1]~I , mode[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst108~0 , inst|inst4|inst108~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst30|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst30|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst31|dffs[5]~feeder , inst|inst4|inst31|dffs[5]~feeder, gA6_lab3, 1 instance = comp, \~GND , ~GND, gA6_lab3, 1 instance = comp, \inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl , inst|inst11|auto_generated|aneb_result_wire[0]~clkctrl, gA6_lab3, 1 instance = comp, \clk~I , clk, gA6_lab3, 1 @@ -1816,522 +1788,569 @@ instance = comp, \addr[2]~I , addr[2], gA6_lab3, 1 instance = comp, \addr[3]~I , addr[3], gA6_lab3, 1 instance = comp, \addr[4]~I , addr[4], gA6_lab3, 1 instance = comp, \addr[5]~I , addr[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst4 , inst|inst4|inst124|inst4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst9|dffs[5] , inst|inst4|inst9|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst1|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst1|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst , inst|inst4|inst124|inst, gA6_lab3, 1 -instance = comp, \inst|inst4|inst|dffs[5] , inst|inst4|inst|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst3|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst3|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst|auto_generated|counter_comb_bita5 , inst|inst|auto_generated|counter_comb_bita5, gA6_lab3, 1 -instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[5] , inst|inst|auto_generated|counter_reg_bit1a[5], gA6_lab3, 1 -instance = comp, \inst|inst|auto_generated|counter_comb_bita6 , inst|inst|auto_generated|counter_comb_bita6, gA6_lab3, 1 -instance = comp, \inst|inst|auto_generated|counter_comb_bita7 , inst|inst|auto_generated|counter_comb_bita7, gA6_lab3, 1 -instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[7] , inst|inst|auto_generated|counter_reg_bit1a[7], gA6_lab3, 1 -instance = comp, \inst|inst|auto_generated|counter_comb_bita8 , inst|inst|auto_generated|counter_comb_bita8, gA6_lab3, 1 -instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[8] , inst|inst|auto_generated|counter_reg_bit1a[8], gA6_lab3, 1 -instance = comp, \inst|inst|auto_generated|counter_comb_bita9 , inst|inst|auto_generated|counter_comb_bita9, gA6_lab3, 1 -instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[9] , inst|inst|auto_generated|counter_reg_bit1a[9], gA6_lab3, 1 -instance = comp, \inst|inst|auto_generated|counter_comb_bita10 , inst|inst|auto_generated|counter_comb_bita10, gA6_lab3, 1 -instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[10] , inst|inst|auto_generated|counter_reg_bit1a[10], gA6_lab3, 1 -instance = comp, \inst|inst|auto_generated|counter_comb_bita11 , inst|inst|auto_generated|counter_comb_bita11, gA6_lab3, 1 -instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[11] , inst|inst|auto_generated|counter_reg_bit1a[11], gA6_lab3, 1 -instance = comp, \inst|inst|auto_generated|counter_comb_bita12 , inst|inst|auto_generated|counter_comb_bita12, gA6_lab3, 1 -instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[12] , inst|inst|auto_generated|counter_reg_bit1a[12], gA6_lab3, 1 -instance = comp, \inst|inst1|auto_generated|aneb_result_wire[0]~2 , inst|inst1|auto_generated|aneb_result_wire[0]~2, gA6_lab3, 1 -instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[6] , inst|inst|auto_generated|counter_reg_bit1a[6], gA6_lab3, 1 -instance = comp, \inst|inst1|auto_generated|aneb_result_wire[0]~3 , inst|inst1|auto_generated|aneb_result_wire[0]~3, gA6_lab3, 1 -instance = comp, \inst|inst|auto_generated|counter_comb_bita14 , inst|inst|auto_generated|counter_comb_bita14, gA6_lab3, 1 -instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[14] , inst|inst|auto_generated|counter_reg_bit1a[14], gA6_lab3, 1 -instance = comp, \inst|inst|auto_generated|counter_comb_bita17 , inst|inst|auto_generated|counter_comb_bita17, gA6_lab3, 1 -instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[17] , inst|inst|auto_generated|counter_reg_bit1a[17], gA6_lab3, 1 -instance = comp, \inst|inst|auto_generated|counter_comb_bita18 , inst|inst|auto_generated|counter_comb_bita18, gA6_lab3, 1 -instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[18] , inst|inst|auto_generated|counter_reg_bit1a[18], gA6_lab3, 1 -instance = comp, \inst|inst|auto_generated|counter_reg_bit1a[19] , inst|inst|auto_generated|counter_reg_bit1a[19], gA6_lab3, 1 -instance = comp, \inst|inst1|auto_generated|aneb_result_wire[0]~0 , inst|inst1|auto_generated|aneb_result_wire[0]~0, gA6_lab3, 1 -instance = comp, \inst|inst1|auto_generated|aneb_result_wire[0]~4 , inst|inst1|auto_generated|aneb_result_wire[0]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst1 , inst|inst4|inst124|inst1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst2|dffs[5] , inst|inst4|inst2|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst4|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst4|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst2 , inst|inst4|inst124|inst2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst5|dffs[5] , inst|inst4|inst5|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst6|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst6|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst3 , inst|inst4|inst124|inst3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst7|dffs[5] , inst|inst4|inst7|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~85 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~85, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~86 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~86, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~52 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~52, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~59 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~59, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~98 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~98, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~95 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~95, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~105 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~105, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst84~0 , inst|inst4|inst124|inst84~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst91~0 , inst|inst4|inst124|inst91~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst31|dffs[5] , inst|inst4|inst31|dffs[5], gA6_lab3, 1 instance = comp, \inst|inst4|inst32|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst32|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst16 , inst|inst4|inst124|inst16, gA6_lab3, 1 +instance = comp, \inst|inst4|inst33|dffs[5]~feeder , inst|inst4|inst33|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst107~0 , inst|inst4|inst107~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst90~0 , inst|inst4|inst124|inst90~0, gA6_lab3, 1 instance = comp, \inst|inst4|inst33|dffs[5] , inst|inst4|inst33|dffs[5], gA6_lab3, 1 instance = comp, \inst|inst4|inst34|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst34|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst17 , inst|inst4|inst124|inst17, gA6_lab3, 1 +instance = comp, \inst|inst4|inst35|dffs[5]~feeder , inst|inst4|inst35|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst89~0 , inst|inst4|inst124|inst89~0, gA6_lab3, 1 instance = comp, \inst|inst4|inst35|dffs[5] , inst|inst4|inst35|dffs[5], gA6_lab3, 1 instance = comp, \inst|inst4|inst36|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst36|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst18 , inst|inst4|inst124|inst18, gA6_lab3, 1 +instance = comp, \inst|inst4|inst37|dffs[5]~feeder , inst|inst4|inst37|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst88~0 , inst|inst4|inst124|inst88~0, gA6_lab3, 1 instance = comp, \inst|inst4|inst37|dffs[5] , inst|inst4|inst37|dffs[5], gA6_lab3, 1 instance = comp, \inst|inst4|inst38|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst38|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst19 , inst|inst4|inst124|inst19, gA6_lab3, 1 +instance = comp, \inst|inst4|inst39|dffs[5]~feeder , inst|inst4|inst39|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst87~0 , inst|inst4|inst124|inst87~0, gA6_lab3, 1 instance = comp, \inst|inst4|inst39|dffs[5] , inst|inst4|inst39|dffs[5], gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~91 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~91, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~92 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~92, gA6_lab3, 1 -instance = comp, \inst|inst4|inst55|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst55|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst27 , inst|inst4|inst124|inst27, gA6_lab3, 1 -instance = comp, \inst|inst4|inst54|dffs[5] , inst|inst4|inst54|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst52|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst52|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst26 , inst|inst4|inst124|inst26, gA6_lab3, 1 -instance = comp, \inst|inst4|inst53|dffs[5] , inst|inst4|inst53|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst50|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst50|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst25 , inst|inst4|inst124|inst25, gA6_lab3, 1 -instance = comp, \inst|inst4|inst51|dffs[5] , inst|inst4|inst51|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst48|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst48|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst24 , inst|inst4|inst124|inst24, gA6_lab3, 1 -instance = comp, \inst|inst4|inst49|dffs[5] , inst|inst4|inst49|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst43|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst43|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst21 , inst|inst4|inst124|inst21, gA6_lab3, 1 -instance = comp, \inst|inst4|inst42|dffs[5] , inst|inst4|inst42|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst44|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst44|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst22 , inst|inst4|inst124|inst22, gA6_lab3, 1 -instance = comp, \inst|inst4|inst45|dffs[5] , inst|inst4|inst45|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst46|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst46|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst23 , inst|inst4|inst124|inst23, gA6_lab3, 1 -instance = comp, \inst|inst4|inst47|dffs[5] , inst|inst4|inst47|dffs[5], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~90 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~90, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~60 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~60, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~67 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~67, gA6_lab3, 1 +instance = comp, \inst|inst4|inst67|dffs[5]~feeder , inst|inst4|inst67|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst73~0 , inst|inst4|inst124|inst73~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst67|dffs[5] , inst|inst4|inst67|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst62|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst62|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst63|dffs[5]~feeder , inst|inst4|inst63|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst75~0 , inst|inst4|inst124|inst75~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst63|dffs[5] , inst|inst4|inst63|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst64|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst64|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst65|dffs[5]~feeder , inst|inst4|inst65|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst74~0 , inst|inst4|inst124|inst74~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst65|dffs[5] , inst|inst4|inst65|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~96 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~96, gA6_lab3, 1 +instance = comp, \inst|inst4|inst81|dffs[5]~feeder , inst|inst4|inst81|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst66~0 , inst|inst4|inst124|inst66~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst81|dffs[5] , inst|inst4|inst81|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst78|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst78|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst79|dffs[5]~feeder , inst|inst4|inst79|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst67~0 , inst|inst4|inst124|inst67~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst79|dffs[5] , inst|inst4|inst79|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst76|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst76|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst77|dffs[5]~feeder , inst|inst4|inst77|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst68~0 , inst|inst4|inst124|inst68~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst77|dffs[5] , inst|inst4|inst77|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst74|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst74|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst75|dffs[5]~feeder , inst|inst4|inst75|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst69~0 , inst|inst4|inst124|inst69~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst75|dffs[5] , inst|inst4|inst75|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst72|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst72|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst73|dffs[5]~feeder , inst|inst4|inst73|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst70~0 , inst|inst4|inst124|inst70~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst73|dffs[5] , inst|inst4|inst73|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst70|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst70|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst71|dffs[5]~feeder , inst|inst4|inst71|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst71~0 , inst|inst4|inst124|inst71~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst71|dffs[5] , inst|inst4|inst71|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst69|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst69|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst68|dffs[5]~feeder , inst|inst4|inst68|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst72~0 , inst|inst4|inst124|inst72~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst68|dffs[5] , inst|inst4|inst68|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~97 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~97, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~16, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~98 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~98, gA6_lab3, 1 +instance = comp, \inst|inst4|inst97|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst97|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst96|dffs[5]~feeder , inst|inst4|inst96|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst58~0 , inst|inst4|inst124|inst58~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst96|dffs[5] , inst|inst4|inst96|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst90|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst90|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst91|dffs[5]~feeder , inst|inst4|inst91|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst61~0 , inst|inst4|inst124|inst61~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst91|dffs[5] , inst|inst4|inst91|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst92|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst92|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst93|dffs[5]~feeder , inst|inst4|inst93|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst60~0 , inst|inst4|inst124|inst60~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst93|dffs[5] , inst|inst4|inst93|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst94|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst94|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst95|dffs[5]~feeder , inst|inst4|inst95|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst59~0 , inst|inst4|inst124|inst59~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst95|dffs[5] , inst|inst4|inst95|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~100 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~100, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~101 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~101, gA6_lab3, 1 +instance = comp, \inst|inst4|inst86|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst86|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst87|dffs[5]~feeder , inst|inst4|inst87|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst63~0 , inst|inst4|inst124|inst63~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst87|dffs[5] , inst|inst4|inst87|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst84|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst84|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst85|dffs[5]~feeder , inst|inst4|inst85|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst64~0 , inst|inst4|inst124|inst64~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst85|dffs[5] , inst|inst4|inst85|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst83|$00000|auto_generated|result_node[5]~3 , inst|inst4|inst83|$00000|auto_generated|result_node[5]~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst82|dffs[5]~feeder , inst|inst4|inst82|dffs[5]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst65~0 , inst|inst4|inst124|inst65~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst82|dffs[5] , inst|inst4|inst82|dffs[5], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~102 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~102, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~104 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~104, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~35, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~105 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~105, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~155 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~155, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~68, gA6_lab3, 1 -instance = comp, \inst|inst4|inst38|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst38|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst41|dffs[4]~feeder , inst|inst4|inst41|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst86~0 , inst|inst4|inst124|inst86~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst41|dffs[4] , inst|inst4|inst41|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst38|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst38|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst39|dffs[4]~feeder , inst|inst4|inst39|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst39|dffs[4] , inst|inst4|inst39|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst36|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst36|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst37|dffs[4]~feeder , inst|inst4|inst37|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst37|dffs[4] , inst|inst4|inst37|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst33|dffs[4]~feeder , inst|inst4|inst33|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst33|dffs[4] , inst|inst4|inst33|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst34|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst34|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst35|dffs[4]~feeder , inst|inst4|inst35|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst35|dffs[4] , inst|inst4|inst35|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~21 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~21, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~22 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~22, gA6_lab3, 1 +instance = comp, \inst|inst4|inst43|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst43|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst42|dffs[4]~feeder , inst|inst4|inst42|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst85~0 , inst|inst4|inst124|inst85~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst42|dffs[4] , inst|inst4|inst42|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst44|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst44|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst45|dffs[4]~feeder , inst|inst4|inst45|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst84~1 , inst|inst4|inst124|inst84~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst45|dffs[4] , inst|inst4|inst45|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst51|dffs[4]~feeder , inst|inst4|inst51|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst81~0 , inst|inst4|inst124|inst81~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst51|dffs[4] , inst|inst4|inst51|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst48|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst48|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst49|dffs[4]~feeder , inst|inst4|inst49|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst82~0 , inst|inst4|inst124|inst82~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst49|dffs[4] , inst|inst4|inst49|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst46|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst46|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst47|dffs[4]~feeder , inst|inst4|inst47|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst83~0 , inst|inst4|inst124|inst83~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst47|dffs[4] , inst|inst4|inst47|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~20 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~20, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~9 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~9, gA6_lab3, 1 +instance = comp, \inst|inst4|inst54|dffs[4]~feeder , inst|inst4|inst54|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst79~0 , inst|inst4|inst124|inst79~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst54|dffs[4] , inst|inst4|inst54|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~14 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~14, gA6_lab3, 1 +instance = comp, \inst|inst4|inst58|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst58|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst59|dffs[4]~feeder , inst|inst4|inst59|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst77~0 , inst|inst4|inst124|inst77~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst59|dffs[4] , inst|inst4|inst59|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst62|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst62|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst63|dffs[4]~feeder , inst|inst4|inst63|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst63|dffs[4] , inst|inst4|inst63|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst60|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst60|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst61|dffs[4]~feeder , inst|inst4|inst61|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst76~0 , inst|inst4|inst124|inst76~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst61|dffs[4] , inst|inst4|inst61|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~11 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~11, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~12 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~12, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~15 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~15, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~16 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~16, gA6_lab3, 1 +instance = comp, \inst|inst4|inst103|dffs[4]~feeder , inst|inst4|inst103|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst55~0 , inst|inst4|inst124|inst55~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst103|dffs[4] , inst|inst4|inst103|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst88|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst88|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst89|dffs[4]~feeder , inst|inst4|inst89|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst62~0 , inst|inst4|inst124|inst62~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst89|dffs[4] , inst|inst4|inst89|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst90|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst90|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst91|dffs[4]~feeder , inst|inst4|inst91|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst91|dffs[4] , inst|inst4|inst91|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst92|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst92|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst93|dffs[4]~feeder , inst|inst4|inst93|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst93|dffs[4] , inst|inst4|inst93|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst94|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst94|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst95|dffs[4]~feeder , inst|inst4|inst95|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst95|dffs[4] , inst|inst4|inst95|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst97|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst97|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst96|dffs[4]~feeder , inst|inst4|inst96|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst96|dffs[4] , inst|inst4|inst96|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst98|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst98|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst99|dffs[4]~feeder , inst|inst4|inst99|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst57~0 , inst|inst4|inst124|inst57~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst99|dffs[4] , inst|inst4|inst99|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst100|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst100|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst101|dffs[4]~feeder , inst|inst4|inst101|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst56~0 , inst|inst4|inst124|inst56~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst101|dffs[4] , inst|inst4|inst101|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~18 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~18, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~152 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~152, gA6_lab3, 1 +instance = comp, \inst|inst4|inst22|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst22|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst23|dffs[4]~feeder , inst|inst4|inst23|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst40 , inst|inst4|inst124|inst40, gA6_lab3, 1 +instance = comp, \inst|inst4|inst23|dffs[4] , inst|inst4|inst23|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst20|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst20|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst21|dffs[4]~feeder , inst|inst4|inst21|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst41 , inst|inst4|inst124|inst41, gA6_lab3, 1 +instance = comp, \inst|inst4|inst21|dffs[4] , inst|inst4|inst21|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst18|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst18|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst19|dffs[4]~feeder , inst|inst4|inst19|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst42 , inst|inst4|inst124|inst42, gA6_lab3, 1 +instance = comp, \inst|inst4|inst19|dffs[4] , inst|inst4|inst19|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst16|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst16|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst17|dffs[4]~feeder , inst|inst4|inst17|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst43 , inst|inst4|inst124|inst43, gA6_lab3, 1 +instance = comp, \inst|inst4|inst17|dffs[4] , inst|inst4|inst17|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst15|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst15|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst14|dffs[4]~feeder , inst|inst4|inst14|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst44 , inst|inst4|inst124|inst44, gA6_lab3, 1 +instance = comp, \inst|inst4|inst14|dffs[4] , inst|inst4|inst14|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst12|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst12|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst13|dffs[4]~feeder , inst|inst4|inst13|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst45 , inst|inst4|inst124|inst45, gA6_lab3, 1 +instance = comp, \inst|inst4|inst13|dffs[4] , inst|inst4|inst13|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst10|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst10|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst11|dffs[4]~feeder , inst|inst4|inst11|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst46 , inst|inst4|inst124|inst46, gA6_lab3, 1 +instance = comp, \inst|inst4|inst11|dffs[4] , inst|inst4|inst11|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst7|dffs[4]~feeder , inst|inst4|inst7|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst48 , inst|inst4|inst124|inst48, gA6_lab3, 1 +instance = comp, \inst|inst4|inst7|dffs[4] , inst|inst4|inst7|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst8|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst8|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst9|dffs[4]~feeder , inst|inst4|inst9|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst47 , inst|inst4|inst124|inst47, gA6_lab3, 1 +instance = comp, \inst|inst4|inst9|dffs[4] , inst|inst4|inst9|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~12 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~12, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~13 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~13, gA6_lab3, 1 +instance = comp, \inst|inst4|inst2|dffs[4]~feeder , inst|inst4|inst2|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst50 , inst|inst4|inst124|inst50, gA6_lab3, 1 +instance = comp, \inst|inst4|inst2|dffs[4] , inst|inst4|inst2|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst1|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst1|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst|dffs[4]~feeder , inst|inst4|inst|dffs[4]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst51 , inst|inst4|inst124|inst51, gA6_lab3, 1 +instance = comp, \inst|inst4|inst|dffs[4] , inst|inst4|inst|dffs[4], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~14 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~14, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~15 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~15, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~1 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~6 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~6, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~5 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~7 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~7, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~8 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~8, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17, gA6_lab3, 1 +instance = comp, \mode_segment~I , mode_segment, gA6_lab3, 1 +instance = comp, \inst|inst4|inst56|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst56|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst57|dffs[3]~feeder , inst|inst4|inst57|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst78~0 , inst|inst4|inst124|inst78~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst57|dffs[3] , inst|inst4|inst57|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst55|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst55|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst54|dffs[3]~feeder , inst|inst4|inst54|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst54|dffs[3] , inst|inst4|inst54|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst52|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst52|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst53|dffs[3]~feeder , inst|inst4|inst53|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst80~0 , inst|inst4|inst124|inst80~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst53|dffs[3] , inst|inst4|inst53|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst50|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst50|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst51|dffs[3]~feeder , inst|inst4|inst51|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst51|dffs[3] , inst|inst4|inst51|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~30 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~30, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~27 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~27, gA6_lab3, 1 +instance = comp, \inst|inst4|inst60|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst60|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst61|dffs[3]~feeder , inst|inst4|inst61|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst61|dffs[3] , inst|inst4|inst61|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst62|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst62|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst63|dffs[3]~feeder , inst|inst4|inst63|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst63|dffs[3] , inst|inst4|inst63|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~28 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~28, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~29 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~29, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~31 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~31, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~32 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~32, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~33 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~33, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[5]~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst30|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst30|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst31|dffs[3]~feeder , inst|inst4|inst31|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst31|dffs[3] , inst|inst4|inst31|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst29|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst29|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst28|dffs[3]~feeder , inst|inst4|inst28|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst92~0 , inst|inst4|inst124|inst92~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst28|dffs[3] , inst|inst4|inst28|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~22 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~22, gA6_lab3, 1 +instance = comp, \inst|inst4|inst14|dffs[3]~feeder , inst|inst4|inst14|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst14|dffs[3] , inst|inst4|inst14|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst16|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst16|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst17|dffs[3]~feeder , inst|inst4|inst17|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst17|dffs[3] , inst|inst4|inst17|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~20 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~20, gA6_lab3, 1 +instance = comp, \inst|inst4|inst26|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst26|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst27|dffs[3]~feeder , inst|inst4|inst27|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst124|inst93~0 , inst|inst4|inst124|inst93~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst27|dffs[3] , inst|inst4|inst27|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~19 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~19, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~21 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~21, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~24 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~24, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~25 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~25, gA6_lab3, 1 +instance = comp, \inst|inst4|inst74|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst74|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst75|dffs[3]~feeder , inst|inst4|inst75|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst75|dffs[3] , inst|inst4|inst75|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst72|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst72|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst73|dffs[3]~feeder , inst|inst4|inst73|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst73|dffs[3] , inst|inst4|inst73|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst70|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst70|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst71|dffs[3]~feeder , inst|inst4|inst71|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst71|dffs[3] , inst|inst4|inst71|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst69|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst69|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst68|dffs[3]~feeder , inst|inst4|inst68|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst68|dffs[3] , inst|inst4|inst68|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst66|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst66|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst67|dffs[3]~feeder , inst|inst4|inst67|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst67|dffs[3] , inst|inst4|inst67|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst64|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst64|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst65|dffs[3]~feeder , inst|inst4|inst65|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst65|dffs[3] , inst|inst4|inst65|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~50 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~50, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~51 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~51, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~52 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~52, gA6_lab3, 1 +instance = comp, \inst|inst4|inst83|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst83|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst82|dffs[3]~feeder , inst|inst4|inst82|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst82|dffs[3] , inst|inst4|inst82|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst86|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst86|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst87|dffs[3]~feeder , inst|inst4|inst87|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst87|dffs[3] , inst|inst4|inst87|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst84|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst84|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst85|dffs[3]~feeder , inst|inst4|inst85|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst85|dffs[3] , inst|inst4|inst85|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~57 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~57, gA6_lab3, 1 +instance = comp, \inst|inst4|inst88|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst88|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst89|dffs[3]~feeder , inst|inst4|inst89|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst89|dffs[3] , inst|inst4|inst89|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst90|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst90|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst91|dffs[3]~feeder , inst|inst4|inst91|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst91|dffs[3] , inst|inst4|inst91|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst97|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst97|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst96|dffs[3]~feeder , inst|inst4|inst96|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst96|dffs[3] , inst|inst4|inst96|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst94|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst94|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst95|dffs[3]~feeder , inst|inst4|inst95|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst95|dffs[3] , inst|inst4|inst95|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~53 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~53, gA6_lab3, 1 +instance = comp, \inst|inst4|inst92|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst92|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 +instance = comp, \inst|inst4|inst93|dffs[3]~feeder , inst|inst4|inst93|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst93|dffs[3] , inst|inst4|inst93|dffs[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~54 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~54, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~55 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~55, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~58 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~58, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~59 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~59, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34, gA6_lab3, 1 +instance = comp, \inst|inst5|inst|inst5|inst2~0 , inst|inst5|inst|inst5|inst2~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst18|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst18|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst19|dffs[0]~feeder , inst|inst4|inst19|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst19|dffs[0] , inst|inst4|inst19|dffs[0], gA6_lab3, 1 +instance = comp, \inst|inst4|inst22|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst22|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst23|dffs[0]~feeder , inst|inst4|inst23|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst23|dffs[0] , inst|inst4|inst23|dffs[0], gA6_lab3, 1 +instance = comp, \inst|inst4|inst20|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst20|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst21|dffs[0]~feeder , inst|inst4|inst21|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst21|dffs[0] , inst|inst4|inst21|dffs[0], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~91 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~91, gA6_lab3, 1 +instance = comp, \inst|inst4|inst26|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst26|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst27|dffs[0]~feeder , inst|inst4|inst27|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst27|dffs[0] , inst|inst4|inst27|dffs[0], gA6_lab3, 1 +instance = comp, \inst|inst4|inst29|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst29|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst28|dffs[0]~feeder , inst|inst4|inst28|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst28|dffs[0] , inst|inst4|inst28|dffs[0], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~88 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~88, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~89 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~89, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~92 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~92, gA6_lab3, 1 +instance = comp, \inst|inst4|inst13|dffs[0]~feeder , inst|inst4|inst13|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst13|dffs[0] , inst|inst4|inst13|dffs[0], gA6_lab3, 1 +instance = comp, \inst|inst4|inst10|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst10|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst11|dffs[0]~feeder , inst|inst4|inst11|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst11|dffs[0] , inst|inst4|inst11|dffs[0], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~129 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~129, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~130 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~130, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~86 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~86, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~93 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~93, gA6_lab3, 1 +instance = comp, \inst|inst4|inst39|dffs[0]~feeder , inst|inst4|inst39|dffs[0]~feeder, gA6_lab3, 1 instance = comp, \inst|inst4|inst39|dffs[0] , inst|inst4|inst39|dffs[0], gA6_lab3, 1 instance = comp, \inst|inst4|inst40|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst40|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst20 , inst|inst4|inst124|inst20, gA6_lab3, 1 +instance = comp, \inst|inst4|inst41|dffs[0]~feeder , inst|inst4|inst41|dffs[0]~feeder, gA6_lab3, 1 instance = comp, \inst|inst4|inst41|dffs[0] , inst|inst4|inst41|dffs[0], gA6_lab3, 1 +instance = comp, \inst|inst4|inst43|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst43|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst42|dffs[0]~feeder , inst|inst4|inst42|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst42|dffs[0] , inst|inst4|inst42|dffs[0], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~135 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~135, gA6_lab3, 1 +instance = comp, \inst|inst4|inst59|dffs[0]~feeder , inst|inst4|inst59|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst59|dffs[0] , inst|inst4|inst59|dffs[0], gA6_lab3, 1 instance = comp, \inst|inst4|inst56|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst56|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst28 , inst|inst4|inst124|inst28, gA6_lab3, 1 +instance = comp, \inst|inst4|inst57|dffs[0]~feeder , inst|inst4|inst57|dffs[0]~feeder, gA6_lab3, 1 instance = comp, \inst|inst4|inst57|dffs[0] , inst|inst4|inst57|dffs[0], gA6_lab3, 1 instance = comp, \inst|inst4|inst55|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst55|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst54|dffs[0]~feeder , inst|inst4|inst54|dffs[0]~feeder, gA6_lab3, 1 instance = comp, \inst|inst4|inst54|dffs[0] , inst|inst4|inst54|dffs[0], gA6_lab3, 1 instance = comp, \inst|inst4|inst52|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst52|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst53|dffs[0]~feeder , inst|inst4|inst53|dffs[0]~feeder, gA6_lab3, 1 instance = comp, \inst|inst4|inst53|dffs[0] , inst|inst4|inst53|dffs[0], gA6_lab3, 1 instance = comp, \inst|inst4|inst50|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst50|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst51|dffs[0]~feeder , inst|inst4|inst51|dffs[0]~feeder, gA6_lab3, 1 instance = comp, \inst|inst4|inst51|dffs[0] , inst|inst4|inst51|dffs[0], gA6_lab3, 1 instance = comp, \inst|inst4|inst48|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst48|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst49|dffs[0]~feeder , inst|inst4|inst49|dffs[0]~feeder, gA6_lab3, 1 instance = comp, \inst|inst4|inst49|dffs[0] , inst|inst4|inst49|dffs[0], gA6_lab3, 1 instance = comp, \inst|inst4|inst46|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst46|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst47|dffs[0]~feeder , inst|inst4|inst47|dffs[0]~feeder, gA6_lab3, 1 instance = comp, \inst|inst4|inst47|dffs[0] , inst|inst4|inst47|dffs[0], gA6_lab3, 1 instance = comp, \inst|inst4|inst44|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst44|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst45|dffs[0]~feeder , inst|inst4|inst45|dffs[0]~feeder, gA6_lab3, 1 instance = comp, \inst|inst4|inst45|dffs[0] , inst|inst4|inst45|dffs[0], gA6_lab3, 1 -instance = comp, \inst|inst4|inst43|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst43|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst42|dffs[0] , inst|inst4|inst42|dffs[0], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~135 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~135, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~136 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~136, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~94 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~94, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~99 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~99, gA6_lab3, 1 -instance = comp, \inst|inst4|inst64|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst64|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst32 , inst|inst4|inst124|inst32, gA6_lab3, 1 -instance = comp, \inst|inst4|inst65|dffs[0] , inst|inst4|inst65|dffs[0], gA6_lab3, 1 -instance = comp, \inst|inst4|inst62|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst62|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst31 , inst|inst4|inst124|inst31, gA6_lab3, 1 -instance = comp, \inst|inst4|inst63|dffs[0] , inst|inst4|inst63|dffs[0], gA6_lab3, 1 +instance = comp, \inst|inst4|inst60|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst60|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst61|dffs[0]~feeder , inst|inst4|inst61|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst61|dffs[0] , inst|inst4|inst61|dffs[0], gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~96 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~96, gA6_lab3, 1 -instance = comp, \inst|inst4|inst58|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst58|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst29 , inst|inst4|inst124|inst29, gA6_lab3, 1 -instance = comp, \inst|inst4|inst59|dffs[0] , inst|inst4|inst59|dffs[0], gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~95 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~95, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~97 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~97, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~98 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~98, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~100 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~100, gA6_lab3, 1 instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~101 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~101, gA6_lab3, 1 -instance = comp, \inst|inst4|inst80|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst80|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst40 , inst|inst4|inst124|inst40, gA6_lab3, 1 -instance = comp, \inst|inst4|inst81|dffs[0] , inst|inst4|inst81|dffs[0], gA6_lab3, 1 -instance = comp, \inst|inst4|inst83|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst83|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst41 , inst|inst4|inst124|inst41, gA6_lab3, 1 -instance = comp, \inst|inst4|inst82|dffs[0] , inst|inst4|inst82|dffs[0], gA6_lab3, 1 -instance = comp, \inst|inst4|inst84|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst84|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst42 , inst|inst4|inst124|inst42, gA6_lab3, 1 -instance = comp, \inst|inst4|inst85|dffs[0] , inst|inst4|inst85|dffs[0], gA6_lab3, 1 -instance = comp, \inst|inst4|inst86|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst86|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst43 , inst|inst4|inst124|inst43, gA6_lab3, 1 -instance = comp, \inst|inst4|inst87|dffs[0] , inst|inst4|inst87|dffs[0], gA6_lab3, 1 -instance = comp, \inst|inst4|inst88|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst88|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst44 , inst|inst4|inst124|inst44, gA6_lab3, 1 -instance = comp, \inst|inst4|inst89|dffs[0] , inst|inst4|inst89|dffs[0], gA6_lab3, 1 -instance = comp, \inst|inst4|inst90|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst90|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst45 , inst|inst4|inst124|inst45, gA6_lab3, 1 -instance = comp, \inst|inst4|inst91|dffs[0] , inst|inst4|inst91|dffs[0], gA6_lab3, 1 -instance = comp, \inst|inst4|inst92|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst92|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst46 , inst|inst4|inst124|inst46, gA6_lab3, 1 -instance = comp, \inst|inst4|inst93|dffs[0] , inst|inst4|inst93|dffs[0], gA6_lab3, 1 -instance = comp, \inst|inst4|inst94|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst94|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst47 , inst|inst4|inst124|inst47, gA6_lab3, 1 -instance = comp, \inst|inst4|inst95|dffs[0] , inst|inst4|inst95|dffs[0], gA6_lab3, 1 -instance = comp, \inst|inst4|inst97|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst97|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst48 , inst|inst4|inst124|inst48, gA6_lab3, 1 -instance = comp, \inst|inst4|inst96|dffs[0] , inst|inst4|inst96|dffs[0], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~133 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~133, gA6_lab3, 1 -instance = comp, \inst|inst4|inst102|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst102|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst51 , inst|inst4|inst124|inst51, gA6_lab3, 1 -instance = comp, \inst|inst4|inst103|dffs[0] , inst|inst4|inst103|dffs[0], gA6_lab3, 1 -instance = comp, \inst|inst4|inst100|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst100|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst50 , inst|inst4|inst124|inst50, gA6_lab3, 1 -instance = comp, \inst|inst4|inst101|dffs[0] , inst|inst4|inst101|dffs[0], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~134 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~134, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~157 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~157, gA6_lab3, 1 +instance = comp, \inst|inst4|inst62|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst62|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst63|dffs[0]~feeder , inst|inst4|inst63|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst63|dffs[0] , inst|inst4|inst63|dffs[0], gA6_lab3, 1 +instance = comp, \inst|inst4|inst64|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst64|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst65|dffs[0]~feeder , inst|inst4|inst65|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst65|dffs[0] , inst|inst4|inst65|dffs[0], gA6_lab3, 1 instance = comp, \inst|inst4|inst66|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst66|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst33 , inst|inst4|inst124|inst33, gA6_lab3, 1 +instance = comp, \inst|inst4|inst67|dffs[0]~feeder , inst|inst4|inst67|dffs[0]~feeder, gA6_lab3, 1 instance = comp, \inst|inst4|inst67|dffs[0] , inst|inst4|inst67|dffs[0], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~142 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~142, gA6_lab3, 1 -instance = comp, \inst|inst4|inst72|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst72|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst36 , inst|inst4|inst124|inst36, gA6_lab3, 1 -instance = comp, \inst|inst4|inst73|dffs[0] , inst|inst4|inst73|dffs[0], gA6_lab3, 1 +instance = comp, \inst|inst4|inst69|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst69|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst68|dffs[0]~feeder , inst|inst4|inst68|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst68|dffs[0] , inst|inst4|inst68|dffs[0], gA6_lab3, 1 instance = comp, \inst|inst4|inst70|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst70|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst35 , inst|inst4|inst124|inst35, gA6_lab3, 1 +instance = comp, \inst|inst4|inst71|dffs[0]~feeder , inst|inst4|inst71|dffs[0]~feeder, gA6_lab3, 1 instance = comp, \inst|inst4|inst71|dffs[0] , inst|inst4|inst71|dffs[0], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~143 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~143, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~144 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~144, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~148 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~148, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~149 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~149, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~150 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~150, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~151 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~151, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~152 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~152, gA6_lab3, 1 -instance = comp, \inst|inst4|inst80|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst80|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst81|dffs[4] , inst|inst4|inst81|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst78|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst78|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst39 , inst|inst4|inst124|inst39, gA6_lab3, 1 -instance = comp, \inst|inst4|inst79|dffs[4] , inst|inst4|inst79|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst76|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst76|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst38 , inst|inst4|inst124|inst38, gA6_lab3, 1 -instance = comp, \inst|inst4|inst77|dffs[4] , inst|inst4|inst77|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst66|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst66|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst67|dffs[4] , inst|inst4|inst67|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst69|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst69|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst34 , inst|inst4|inst124|inst34, gA6_lab3, 1 -instance = comp, \inst|inst4|inst68|dffs[4] , inst|inst4|inst68|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst70|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst70|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst71|dffs[4] , inst|inst4|inst71|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst72|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst72|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst73|dffs[4] , inst|inst4|inst73|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst74|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst74|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst37 , inst|inst4|inst124|inst37, gA6_lab3, 1 -instance = comp, \inst|inst4|inst75|dffs[4] , inst|inst4|inst75|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~23 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~23, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~24 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~24, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~25 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~25, gA6_lab3, 1 -instance = comp, \inst|inst4|inst86|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst86|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst87|dffs[4] , inst|inst4|inst87|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst84|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst84|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst85|dffs[4] , inst|inst4|inst85|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~33 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~33, gA6_lab3, 1 -instance = comp, \inst|inst4|inst83|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst83|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst82|dffs[4] , inst|inst4|inst82|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~32 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~32, gA6_lab3, 1 -instance = comp, \inst|inst4|inst88|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst88|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst89|dffs[4] , inst|inst4|inst89|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst90|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst90|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst91|dffs[4] , inst|inst4|inst91|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst92|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst92|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst93|dffs[4] , inst|inst4|inst93|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~30 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~30, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~31 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~31, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~34 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~34, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~36 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~36, gA6_lab3, 1 -instance = comp, \inst|inst4|inst34|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst34|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst35|dffs[4] , inst|inst4|inst35|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst32|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst32|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst33|dffs[4] , inst|inst4|inst33|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst30|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst30|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst15 , inst|inst4|inst124|inst15, gA6_lab3, 1 -instance = comp, \inst|inst4|inst31|dffs[4] , inst|inst4|inst31|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst29|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst29|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst14 , inst|inst4|inst124|inst14, gA6_lab3, 1 -instance = comp, \inst|inst4|inst28|dffs[4] , inst|inst4|inst28|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst8|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst8|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst9|dffs[4] , inst|inst4|inst9|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst10|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst10|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst5 , inst|inst4|inst124|inst5, gA6_lab3, 1 -instance = comp, \inst|inst4|inst11|dffs[4] , inst|inst4|inst11|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst12|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst12|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst6 , inst|inst4|inst124|inst6, gA6_lab3, 1 -instance = comp, \inst|inst4|inst13|dffs[4] , inst|inst4|inst13|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst15|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst15|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst7 , inst|inst4|inst124|inst7, gA6_lab3, 1 -instance = comp, \inst|inst4|inst14|dffs[4] , inst|inst4|inst14|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst16|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst16|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst8 , inst|inst4|inst124|inst8, gA6_lab3, 1 -instance = comp, \inst|inst4|inst17|dffs[4] , inst|inst4|inst17|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst18|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst18|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst9 , inst|inst4|inst124|inst9, gA6_lab3, 1 -instance = comp, \inst|inst4|inst19|dffs[4] , inst|inst4|inst19|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst20|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst20|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst10 , inst|inst4|inst124|inst10, gA6_lab3, 1 -instance = comp, \inst|inst4|inst21|dffs[4] , inst|inst4|inst21|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst22|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst22|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst11 , inst|inst4|inst124|inst11, gA6_lab3, 1 -instance = comp, \inst|inst4|inst23|dffs[4] , inst|inst4|inst23|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst24|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst24|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst12 , inst|inst4|inst124|inst12, gA6_lab3, 1 -instance = comp, \inst|inst4|inst25|dffs[4] , inst|inst4|inst25|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst26|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst26|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst13 , inst|inst4|inst124|inst13, gA6_lab3, 1 -instance = comp, \inst|inst4|inst27|dffs[4] , inst|inst4|inst27|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~2 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~4 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~6 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~6, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~7 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~7, gA6_lab3, 1 -instance = comp, \inst|inst4|inst6|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst6|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst7|dffs[4] , inst|inst4|inst7|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst4|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst4|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst5|dffs[4] , inst|inst4|inst5|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst3|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst3|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst2|dffs[4] , inst|inst4|inst2|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst1|$00000|auto_generated|result_node[4]~0 , inst|inst4|inst1|$00000|auto_generated|result_node[4]~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst|dffs[4] , inst|inst4|inst|dffs[4], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~14 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~14, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~15 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~15, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~12 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~12, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~13 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~13, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~1 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~8 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~8, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[4]~17, gA6_lab3, 1 -instance = comp, \inst|inst5|inst|inst5|inst2~1 , inst|inst5|inst|inst5|inst2~1, gA6_lab3, 1 -instance = comp, \inst|inst5|inst|inst5|inst2~0 , inst|inst5|inst|inst5|inst2~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst88|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst88|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst89|dffs[2] , inst|inst4|inst89|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst90|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst90|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst91|dffs[2] , inst|inst4|inst91|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst92|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst92|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst93|dffs[2] , inst|inst4|inst93|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~77 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~77, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~78 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~78, gA6_lab3, 1 -instance = comp, \inst|inst4|inst86|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst86|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst87|dffs[2] , inst|inst4|inst87|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~80 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~80, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~81 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~81, gA6_lab3, 1 -instance = comp, \inst|inst4|inst70|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst70|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst71|dffs[2] , inst|inst4|inst71|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst72|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst72|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst73|dffs[2] , inst|inst4|inst73|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst74|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst74|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst75|dffs[2] , inst|inst4|inst75|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~70 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~70, gA6_lab3, 1 -instance = comp, \inst|inst4|inst80|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst80|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst81|dffs[2] , inst|inst4|inst81|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst78|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst78|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst79|dffs[2] , inst|inst4|inst79|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~71 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~71, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~72 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~72, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~82 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~82, gA6_lab3, 1 -instance = comp, \inst|inst4|inst60|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst60|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst30 , inst|inst4|inst124|inst30, gA6_lab3, 1 -instance = comp, \inst|inst4|inst61|dffs[2] , inst|inst4|inst61|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst58|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst58|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst59|dffs[2] , inst|inst4|inst59|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst56|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst56|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst57|dffs[2] , inst|inst4|inst57|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst55|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst55|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst54|dffs[2] , inst|inst4|inst54|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst48|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst48|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst49|dffs[2] , inst|inst4|inst49|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst50|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst50|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst51|dffs[2] , inst|inst4|inst51|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst52|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst52|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst53|dffs[2] , inst|inst4|inst53|dffs[2], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~48 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~48, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~47 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~47, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~49 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~49, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~50 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~50, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~154 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~154, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51, gA6_lab3, 1 -instance = comp, \inst|inst5|inst|inst5|inst2~2 , inst|inst5|inst|inst5|inst2~2, gA6_lab3, 1 -instance = comp, \mode_segment~I , mode_segment, gA6_lab3, 1 -instance = comp, \inst|inst6|Mux0~0 , inst|inst6|Mux0~0, gA6_lab3, 1 -instance = comp, \inst|inst6|Mux1~0 , inst|inst6|Mux1~0, gA6_lab3, 1 -instance = comp, \inst|inst6|Mux2~0 , inst|inst6|Mux2~0, gA6_lab3, 1 -instance = comp, \inst|inst6|Mux3~0 , inst|inst6|Mux3~0, gA6_lab3, 1 -instance = comp, \inst|inst6|Mux4~0 , inst|inst6|Mux4~0, gA6_lab3, 1 -instance = comp, \inst|inst6|Mux5~0 , inst|inst6|Mux5~0, gA6_lab3, 1 -instance = comp, \inst|inst6|Mux6~0 , inst|inst6|Mux6~0, gA6_lab3, 1 -instance = comp, \inst|inst5|inst|inst7|inst3 , inst|inst5|inst|inst7|inst3, gA6_lab3, 1 -instance = comp, \inst|inst4|inst98|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst98|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst124|inst49 , inst|inst4|inst124|inst49, gA6_lab3, 1 -instance = comp, \inst|inst4|inst99|dffs[1] , inst|inst4|inst99|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst100|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst100|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst101|dffs[1] , inst|inst4|inst101|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst102|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst102|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst103|dffs[1] , inst|inst4|inst103|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~111 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~111, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~156 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~156, gA6_lab3, 1 -instance = comp, \inst|inst4|inst69|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst69|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst68|dffs[1] , inst|inst4|inst68|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst70|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst70|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst71|dffs[1] , inst|inst4|inst71|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst66|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst66|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst67|dffs[1] , inst|inst4|inst67|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst64|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst64|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst65|dffs[1] , inst|inst4|inst65|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~119 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~119, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~120 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~120, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~121 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~121, gA6_lab3, 1 -instance = comp, \inst|inst4|inst72|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst72|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst73|dffs[1] , inst|inst4|inst73|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst74|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst74|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst75|dffs[1] , inst|inst4|inst75|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst76|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst76|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst77|dffs[1] , inst|inst4|inst77|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst78|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst78|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst79|dffs[1] , inst|inst4|inst79|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst80|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst80|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst81|dffs[1] , inst|inst4|inst81|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst83|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst83|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst82|dffs[1] , inst|inst4|inst82|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~125 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~125, gA6_lab3, 1 -instance = comp, \inst|inst4|inst84|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst84|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst85|dffs[1] , inst|inst4|inst85|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst86|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst86|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst87|dffs[1] , inst|inst4|inst87|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~126 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~126, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~127 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~127, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~118 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~118, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~128 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~128, gA6_lab3, 1 -instance = comp, \inst|inst4|inst40|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst40|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst41|dffs[1] , inst|inst4|inst41|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst43|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst43|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst42|dffs[1] , inst|inst4|inst42|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst62|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst62|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst63|dffs[1] , inst|inst4|inst63|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst60|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst60|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst61|dffs[1] , inst|inst4|inst61|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst58|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst58|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst59|dffs[1] , inst|inst4|inst59|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst56|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst56|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst57|dffs[1] , inst|inst4|inst57|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst55|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst55|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst54|dffs[1] , inst|inst4|inst54|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst52|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst52|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst53|dffs[1] , inst|inst4|inst53|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst50|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst50|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst51|dffs[1] , inst|inst4|inst51|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst48|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst48|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst49|dffs[1] , inst|inst4|inst49|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst46|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst46|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst47|dffs[1] , inst|inst4|inst47|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst44|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst44|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst45|dffs[1] , inst|inst4|inst45|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~112 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~112, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~113 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~113, gA6_lab3, 1 -instance = comp, \inst|inst4|inst38|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst38|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst39|dffs[1] , inst|inst4|inst39|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst36|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst36|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst37|dffs[1] , inst|inst4|inst37|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst1|$00000|auto_generated|result_node[1] , inst|inst4|inst1|$00000|auto_generated|result_node[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst|dffs[1] , inst|inst4|inst|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst3|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst3|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst2|dffs[1] , inst|inst4|inst2|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst4|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst4|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst5|dffs[1] , inst|inst4|inst5|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst6|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst6|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst7|dffs[1] , inst|inst4|inst7|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst8|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst8|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst9|dffs[1] , inst|inst4|inst9|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst10|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst10|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst11|dffs[1] , inst|inst4|inst11|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst12|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst12|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst13|dffs[1] , inst|inst4|inst13|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst15|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst15|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst14|dffs[1] , inst|inst4|inst14|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst16|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst16|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst17|dffs[1] , inst|inst4|inst17|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst18|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst18|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst19|dffs[1] , inst|inst4|inst19|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst20|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst20|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst21|dffs[1] , inst|inst4|inst21|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst22|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst22|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst23|dffs[1] , inst|inst4|inst23|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst24|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst24|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst25|dffs[1] , inst|inst4|inst25|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst26|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst26|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst27|dffs[1] , inst|inst4|inst27|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst29|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst29|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst28|dffs[1] , inst|inst4|inst28|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst30|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst30|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst31|dffs[1] , inst|inst4|inst31|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst32|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst32|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst33|dffs[1] , inst|inst4|inst33|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst34|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst34|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 -instance = comp, \inst|inst4|inst35|dffs[1] , inst|inst4|inst35|dffs[1], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~114 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~114, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~115 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~115, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~77 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~77, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~84 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~84, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85, gA6_lab3, 1 +instance = comp, \inst|inst4|inst72|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst72|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst73|dffs[0]~feeder , inst|inst4|inst73|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst73|dffs[0] , inst|inst4|inst73|dffs[0], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~139 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~139, gA6_lab3, 1 +instance = comp, \inst|inst4|inst80|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst80|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst81|dffs[0]~feeder , inst|inst4|inst81|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst81|dffs[0] , inst|inst4|inst81|dffs[0], gA6_lab3, 1 +instance = comp, \inst|inst4|inst78|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst78|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst79|dffs[0]~feeder , inst|inst4|inst79|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst79|dffs[0] , inst|inst4|inst79|dffs[0], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~140 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~140, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~141 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~141, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~142 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~142, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~143 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~143, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~144 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~144, gA6_lab3, 1 +instance = comp, \inst|inst4|inst83|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst83|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst82|dffs[0]~feeder , inst|inst4|inst82|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst82|dffs[0] , inst|inst4|inst82|dffs[0], gA6_lab3, 1 +instance = comp, \inst|inst4|inst84|$00000|auto_generated|result_node[0]~5 , inst|inst4|inst84|$00000|auto_generated|result_node[0]~5, gA6_lab3, 1 +instance = comp, \inst|inst4|inst85|dffs[0]~feeder , inst|inst4|inst85|dffs[0]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst85|dffs[0] , inst|inst4|inst85|dffs[0], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~149 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~149, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~148 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~148, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~150 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~150, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~151 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~151, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[0]~102, gA6_lab3, 1 +instance = comp, \inst|inst5|inst|inst5|inst2~1 , inst|inst5|inst|inst5|inst2~1, gA6_lab3, 1 +instance = comp, \inst|inst5|inst|inst5|inst2~2 , inst|inst5|inst|inst5|inst2~2, gA6_lab3, 1 +instance = comp, \inst|inst6|Mux0~0 , inst|inst6|Mux0~0, gA6_lab3, 1 +instance = comp, \inst|inst6|Mux1~0 , inst|inst6|Mux1~0, gA6_lab3, 1 +instance = comp, \inst|inst6|Mux2~0 , inst|inst6|Mux2~0, gA6_lab3, 1 +instance = comp, \inst|inst6|Mux3~0 , inst|inst6|Mux3~0, gA6_lab3, 1 +instance = comp, \inst|inst6|Mux4~0 , inst|inst6|Mux4~0, gA6_lab3, 1 +instance = comp, \inst|inst6|Mux5~0 , inst|inst6|Mux5~0, gA6_lab3, 1 +instance = comp, \inst|inst6|Mux6~0 , inst|inst6|Mux6~0, gA6_lab3, 1 instance = comp, \inst|inst5|inst5|inst|inst2~0 , inst|inst5|inst5|inst|inst2~0, gA6_lab3, 1 +instance = comp, \inst|inst5|inst|inst7|inst3 , inst|inst5|inst|inst7|inst3, gA6_lab3, 1 instance = comp, \inst|inst5|inst5|inst1|inst3 , inst|inst5|inst5|inst1|inst3, gA6_lab3, 1 -instance = comp, \inst|inst5|inst5|inst2|inst3 , inst|inst5|inst5|inst2|inst3, gA6_lab3, 1 instance = comp, \inst|inst5|inst5|inst|inst , inst|inst5|inst5|inst|inst, gA6_lab3, 1 -instance = comp, \inst|inst7|Mux0~3 , inst|inst7|Mux0~3, gA6_lab3, 1 +instance = comp, \inst|inst5|inst5|inst2|inst , inst|inst5|inst5|inst2|inst, gA6_lab3, 1 +instance = comp, \inst|inst5|inst5|inst2|inst3 , inst|inst5|inst5|inst2|inst3, gA6_lab3, 1 instance = comp, \inst|inst7|Mux0~2 , inst|inst7|Mux0~2, gA6_lab3, 1 -instance = comp, \inst|inst4|inst58|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst58|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst59|dffs[3] , inst|inst4|inst59|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst56|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst56|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst57|dffs[3] , inst|inst4|inst57|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst55|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst55|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst54|dffs[3] , inst|inst4|inst54|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst52|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst52|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst53|dffs[3] , inst|inst4|inst53|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst50|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst50|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst51|dffs[3] , inst|inst4|inst51|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst48|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst48|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst49|dffs[3] , inst|inst4|inst49|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst46|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst46|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst47|dffs[3] , inst|inst4|inst47|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst44|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst44|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst45|dffs[3] , inst|inst4|inst45|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~44 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~44, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~26 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~26, gA6_lab3, 1 -instance = comp, \inst|inst4|inst60|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst60|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst61|dffs[3] , inst|inst4|inst61|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst62|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst62|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst63|dffs[3] , inst|inst4|inst63|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~28 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~28, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~29 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~29, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~30 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~30, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~32 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~32, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~33 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~33, gA6_lab3, 1 -instance = comp, \inst|inst4|inst102|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst102|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst103|dffs[3] , inst|inst4|inst103|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst98|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst98|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst99|dffs[3] , inst|inst4|inst99|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst100|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst100|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst101|dffs[3] , inst|inst4|inst101|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~42 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~42, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~153 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~153, gA6_lab3, 1 -instance = comp, \inst|inst4|inst8|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst8|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst9|dffs[3] , inst|inst4|inst9|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst6|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst6|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst7|dffs[3] , inst|inst4|inst7|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst4|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst4|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst5|dffs[3] , inst|inst4|inst5|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst3|$00000|auto_generated|result_node[3]~1 , inst|inst4|inst3|$00000|auto_generated|result_node[3]~1, gA6_lab3, 1 -instance = comp, \inst|inst4|inst2|dffs[3] , inst|inst4|inst2|dffs[3], gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~39 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~39, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~40 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~40, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~18 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~18, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~25 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~25, gA6_lab3, 1 -instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[3]~34, gA6_lab3, 1 +instance = comp, \inst|inst7|Mux0~3 , inst|inst7|Mux0~3, gA6_lab3, 1 +instance = comp, \inst|inst4|inst101|dffs[2]~feeder , inst|inst4|inst101|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst101|dffs[2] , inst|inst4|inst101|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst102|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst102|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst103|dffs[2]~feeder , inst|inst4|inst103|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst103|dffs[2] , inst|inst4|inst103|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~65 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~65, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~154 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~154, gA6_lab3, 1 +instance = comp, \inst|inst4|inst40|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst40|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst41|dffs[2]~feeder , inst|inst4|inst41|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst41|dffs[2] , inst|inst4|inst41|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst43|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst43|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst42|dffs[2]~feeder , inst|inst4|inst42|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst42|dffs[2] , inst|inst4|inst42|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst51|dffs[2]~feeder , inst|inst4|inst51|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst51|dffs[2] , inst|inst4|inst51|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst48|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst48|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst49|dffs[2]~feeder , inst|inst4|inst49|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst49|dffs[2] , inst|inst4|inst49|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst46|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst46|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst47|dffs[2]~feeder , inst|inst4|inst47|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst47|dffs[2] , inst|inst4|inst47|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst44|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst44|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst45|dffs[2]~feeder , inst|inst4|inst45|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst45|dffs[2] , inst|inst4|inst45|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~66 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~66, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~67 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~67, gA6_lab3, 1 +instance = comp, \inst|inst4|inst32|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst32|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst33|dffs[2]~feeder , inst|inst4|inst33|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst33|dffs[2] , inst|inst4|inst33|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst36|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst36|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst37|dffs[2]~feeder , inst|inst4|inst37|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst37|dffs[2] , inst|inst4|inst37|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst34|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst34|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst35|dffs[2]~feeder , inst|inst4|inst35|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst35|dffs[2] , inst|inst4|inst35|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~68 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~68, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~69 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~69, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~43 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~43, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~47 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~47, gA6_lab3, 1 +instance = comp, \inst|inst4|inst58|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst58|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst59|dffs[2]~feeder , inst|inst4|inst59|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst59|dffs[2] , inst|inst4|inst59|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst56|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst56|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst57|dffs[2]~feeder , inst|inst4|inst57|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst57|dffs[2] , inst|inst4|inst57|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~44 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~44, gA6_lab3, 1 +instance = comp, \inst|inst4|inst65|dffs[2]~feeder , inst|inst4|inst65|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst65|dffs[2] , inst|inst4|inst65|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst62|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst62|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst63|dffs[2]~feeder , inst|inst4|inst63|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst63|dffs[2] , inst|inst4|inst63|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~45 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~45, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~46 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~46, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~49 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~49, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~50 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~50, gA6_lab3, 1 +instance = comp, \inst|inst4|inst66|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst66|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst67|dffs[2]~feeder , inst|inst4|inst67|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst67|dffs[2] , inst|inst4|inst67|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst69|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst69|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst68|dffs[2]~feeder , inst|inst4|inst68|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst68|dffs[2] , inst|inst4|inst68|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~73 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~73, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~74 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~74, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~75 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~75, gA6_lab3, 1 +instance = comp, \inst|inst4|inst71|dffs[2]~feeder , inst|inst4|inst71|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst71|dffs[2] , inst|inst4|inst71|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst72|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst72|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst73|dffs[2]~feeder , inst|inst4|inst73|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst73|dffs[2] , inst|inst4|inst73|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst78|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst78|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst79|dffs[2]~feeder , inst|inst4|inst79|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst79|dffs[2] , inst|inst4|inst79|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst76|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst76|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst77|dffs[2]~feeder , inst|inst4|inst77|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst77|dffs[2] , inst|inst4|inst77|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst74|$00000|auto_generated|result_node[2]~2 , inst|inst4|inst74|$00000|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \inst|inst4|inst75|dffs[2]~feeder , inst|inst4|inst75|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst75|dffs[2] , inst|inst4|inst75|dffs[2], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~70 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~70, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~71 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~71, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~72 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~72, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~82 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~82, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[2]~51, gA6_lab3, 1 instance = comp, \inst|inst5|inst5|inst3|inst3~0 , inst|inst5|inst5|inst3|inst3~0, gA6_lab3, 1 instance = comp, \inst|inst5|inst5|inst3|inst3~3 , inst|inst5|inst5|inst3|inst3~3, gA6_lab3, 1 instance = comp, \inst|inst7|Mux0~4 , inst|inst7|Mux0~4, gA6_lab3, 1 instance = comp, \inst|inst5|inst5|inst3|inst3~4 , inst|inst5|inst5|inst3|inst3~4, gA6_lab3, 1 instance = comp, \inst|inst7|Mux1~0 , inst|inst7|Mux1~0, gA6_lab3, 1 instance = comp, \inst|inst7|Mux1~1 , inst|inst7|Mux1~1, gA6_lab3, 1 -instance = comp, \inst|inst7|Mux2~3 , inst|inst7|Mux2~3, gA6_lab3, 1 instance = comp, \inst|inst7|Mux2~2 , inst|inst7|Mux2~2, gA6_lab3, 1 +instance = comp, \inst|inst7|Mux2~3 , inst|inst7|Mux2~3, gA6_lab3, 1 instance = comp, \inst|inst7|Mux2~4 , inst|inst7|Mux2~4, gA6_lab3, 1 instance = comp, \inst|inst7|Mux3~1 , inst|inst7|Mux3~1, gA6_lab3, 1 instance = comp, \inst|inst7|Mux3~0 , inst|inst7|Mux3~0, gA6_lab3, 1 @@ -2340,12 +2359,128 @@ instance = comp, \inst|inst7|Mux4~2 , inst|inst7|Mux4~2, gA6_lab3, 1 instance = comp, \inst|inst7|Mux4~3 , inst|inst7|Mux4~3, gA6_lab3, 1 instance = comp, \inst|inst7|Mux5~0 , inst|inst7|Mux5~0, gA6_lab3, 1 instance = comp, \inst|inst7|Mux5~1 , inst|inst7|Mux5~1, gA6_lab3, 1 -instance = comp, \inst|inst7|Mux6~2 , inst|inst7|Mux6~2, gA6_lab3, 1 instance = comp, \inst|inst7|Mux6~3 , inst|inst7|Mux6~3, gA6_lab3, 1 +instance = comp, \inst|inst7|Mux6~2 , inst|inst7|Mux6~2, gA6_lab3, 1 instance = comp, \inst|inst7|Mux6~4 , inst|inst7|Mux6~4, gA6_lab3, 1 instance = comp, \inst|inst8|Mux1~0 , inst|inst8|Mux1~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst107~0 , inst|inst4|inst107~0, gA6_lab3, 1 -instance = comp, \inst|inst4|inst108~0 , inst|inst4|inst108~0, gA6_lab3, 1 +instance = comp, \inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~4 , inst|inst4|inst115|auto_generated|counter_reg_bit1a[3]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst115|auto_generated|counter_reg_bit1a[3] , inst|inst4|inst115|auto_generated|counter_reg_bit1a[3], gA6_lab3, 1 +instance = comp, \inst|inst4|inst36|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst36|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst37|dffs[1]~feeder , inst|inst4|inst37|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst37|dffs[1] , inst|inst4|inst37|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst40|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst40|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst41|dffs[1]~feeder , inst|inst4|inst41|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst41|dffs[1] , inst|inst4|inst41|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst38|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst38|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst39|dffs[1]~feeder , inst|inst4|inst39|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst39|dffs[1] , inst|inst4|inst39|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst30|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst30|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst31|dffs[1]~feeder , inst|inst4|inst31|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst31|dffs[1] , inst|inst4|inst31|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst32|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst32|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst33|dffs[1]~feeder , inst|inst4|inst33|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst33|dffs[1] , inst|inst4|inst33|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~114 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~114, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~115 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~115, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~77 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~77, gA6_lab3, 1 +instance = comp, \inst|inst4|inst54|dffs[1]~feeder , inst|inst4|inst54|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst54|dffs[1] , inst|inst4|inst54|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst59|dffs[1]~feeder , inst|inst4|inst59|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst59|dffs[1] , inst|inst4|inst59|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst56|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst56|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst57|dffs[1]~feeder , inst|inst4|inst57|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst57|dffs[1] , inst|inst4|inst57|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~78 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~78, gA6_lab3, 1 +instance = comp, \inst|inst4|inst60|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst60|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst61|dffs[1]~feeder , inst|inst4|inst61|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst61|dffs[1] , inst|inst4|inst61|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst64|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst64|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst65|dffs[1]~feeder , inst|inst4|inst65|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst65|dffs[1] , inst|inst4|inst65|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst62|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst62|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst63|dffs[1]~feeder , inst|inst4|inst63|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst63|dffs[1] , inst|inst4|inst63|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~79 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~79, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~80 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~80, gA6_lab3, 1 +instance = comp, \inst|inst4|inst46|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst46|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst47|dffs[1]~feeder , inst|inst4|inst47|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst47|dffs[1] , inst|inst4|inst47|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst48|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst48|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst49|dffs[1]~feeder , inst|inst4|inst49|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst49|dffs[1] , inst|inst4|inst49|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst50|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst50|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst51|dffs[1]~feeder , inst|inst4|inst51|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst51|dffs[1] , inst|inst4|inst51|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst52|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst52|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst53|dffs[1]~feeder , inst|inst4|inst53|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst53|dffs[1] , inst|inst4|inst53|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~82 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~82, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~83 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~83, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~84 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~84, gA6_lab3, 1 +instance = comp, \inst|inst4|inst102|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst102|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst103|dffs[1]~feeder , inst|inst4|inst103|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst103|dffs[1] , inst|inst4|inst103|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst100|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst100|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst101|dffs[1]~feeder , inst|inst4|inst101|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst101|dffs[1] , inst|inst4|inst101|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst95|dffs[1]~feeder , inst|inst4|inst95|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst95|dffs[1] , inst|inst4|inst95|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst97|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst97|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst96|dffs[1]~feeder , inst|inst4|inst96|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst96|dffs[1] , inst|inst4|inst96|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst98|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst98|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst99|dffs[1]~feeder , inst|inst4|inst99|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst99|dffs[1] , inst|inst4|inst99|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~110 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~110, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~111 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~111, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~156 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~156, gA6_lab3, 1 +instance = comp, \inst|inst4|inst22|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst22|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst23|dffs[1]~feeder , inst|inst4|inst23|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst23|dffs[1] , inst|inst4|inst23|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst20|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst20|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst21|dffs[1]~feeder , inst|inst4|inst21|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst21|dffs[1] , inst|inst4|inst21|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~74 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~74, gA6_lab3, 1 +instance = comp, \inst|inst4|inst29|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst29|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst28|dffs[1]~feeder , inst|inst4|inst28|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst28|dffs[1] , inst|inst4|inst28|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~71 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~71, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~72 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~72, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~75 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~75, gA6_lab3, 1 +instance = comp, \inst|inst4|inst16|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst16|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst17|dffs[1]~feeder , inst|inst4|inst17|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst17|dffs[1] , inst|inst4|inst17|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst13|dffs[1]~feeder , inst|inst4|inst13|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst13|dffs[1] , inst|inst4|inst13|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst15|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst15|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst14|dffs[1]~feeder , inst|inst4|inst14|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst14|dffs[1] , inst|inst4|inst14|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~107 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~107, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~69 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~69, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~76 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~76, gA6_lab3, 1 +instance = comp, \inst|inst4|inst81|dffs[1]~feeder , inst|inst4|inst81|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst81|dffs[1] , inst|inst4|inst81|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~125 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~125, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~123 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~123, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~124 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~124, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~127 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~127, gA6_lab3, 1 +instance = comp, \inst|inst4|inst66|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst66|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst67|dffs[1]~feeder , inst|inst4|inst67|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst67|dffs[1] , inst|inst4|inst67|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst72|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst72|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst73|dffs[1]~feeder , inst|inst4|inst73|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst73|dffs[1] , inst|inst4|inst73|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst70|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst70|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst71|dffs[1]~feeder , inst|inst4|inst71|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst71|dffs[1] , inst|inst4|inst71|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst69|$00000|auto_generated|result_node[1]~4 , inst|inst4|inst69|$00000|auto_generated|result_node[1]~4, gA6_lab3, 1 +instance = comp, \inst|inst4|inst68|dffs[1]~feeder , inst|inst4|inst68|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \inst|inst4|inst68|dffs[1] , inst|inst4|inst68|dffs[1], gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~119 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~119, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~120 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~120, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~121 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~121, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|_~128 , inst|inst4|inst125|LPM_MUX_component|auto_generated|_~128, gA6_lab3, 1 +instance = comp, \inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85 , inst|inst4|inst125|LPM_MUX_component|auto_generated|result_node[1]~85, gA6_lab3, 1 instance = comp, \altera_reserved_tms~I , altera_reserved_tms, gA6_lab3, 1 instance = comp, \altera_reserved_tck~I , altera_reserved_tck, gA6_lab3, 1 instance = comp, \altera_reserved_tdi~I , altera_reserved_tdi, gA6_lab3, 1 @@ -2357,27 +2492,35 @@ instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~9 , a instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[11] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[11], gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~10 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~10, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[12] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[12], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~11 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~11, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[13] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[13], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~12 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~12, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[14] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[14], gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_dr_scan_proc~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_dr_scan_proc~0, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[15] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[15], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_proc~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_proc~0, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[8] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[8], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~1 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~1, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[1] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[1], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~2 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~2, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[2] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[2], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~3 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~3, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[3] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[3], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~4 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~4, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[4] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[4], gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~5 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~5, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[5] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[5], gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~6 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~6, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[6] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[6], gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~7 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~7, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[7] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[7], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~4 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~4, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[4] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[4], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg~0, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~4 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~4, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_proc~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_proc~0, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[8] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[8], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~2 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~2, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[2] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[2], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~3 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~3, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[3] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[3], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~3 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~3, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~0, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg[3] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg[3], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~2 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~2, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg[2] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg[2], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~1 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~1, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg[1] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg[1], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~0, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg[0] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg[0], gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~1 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~1, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt[0] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt[0], gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~2 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|tms_cnt~2, gA6_lab3, 1 @@ -2388,10 +2531,10 @@ instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~0 , a instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0], gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[0]~clkctrl, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[9] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[9], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[8]~feeder , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[8]~feeder, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[8] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[8], gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[7]~feeder , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[7]~feeder, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[7] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[7], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|Equal0~0, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[6] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[6], gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[5]~feeder , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[5]~feeder, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[5] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[5], gA6_lab3, 1 @@ -2404,73 +2547,84 @@ instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[1]~feeder instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[1] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[1], gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[0]~1 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[0]~1, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[0] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|jtag_ir_reg[0], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~1 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|Equal0~1, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal1~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|Equal1~0, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|virtual_ir_scan_reg, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg_proc~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg_proc~0, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|reset_ena_reg, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][1] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][1], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~5 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~5, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[2]~1 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[2]~1, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[1] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[1], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal3~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|Equal3~0, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~4 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~4, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~1 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state~1, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[1] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|shadow_jsm|state[1], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg_proc~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|clr_reg_proc~0, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|clr_reg, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[8] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[8], gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[0]~3 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[0]~3, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[0] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[0], gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~7 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~7, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~8 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~8, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~9 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3]~9, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[3], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2]~feeder , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2]~feeder, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2], gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~3 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~3, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[2]~1 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[2]~1, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0]~2, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[2] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[2], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~feeder , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~feeder, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~5 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~5, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[1] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[1], gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~0, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[0], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~5 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~5, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~6 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2]~6, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[2], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg_proc~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|clr_reg_proc~0, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|clr_reg, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|clr_reg~clkctrl, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[8] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[8], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~9 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~9, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1]~11 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1]~11, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2]~14 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2]~14, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~2 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|Equal0~2, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_dr_scan_reg , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|virtual_dr_scan_reg, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|clear_signal , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|clear_signal, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~20 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~20, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[4]~18 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[4]~18, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[4] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[4], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~10 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~10, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~13 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~13, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~4 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~4, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~6 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~6, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~11 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~11, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~14 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~14, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~15 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~15, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0]~16 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0]~16, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[3] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[3], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~12 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~12, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[2] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[2], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~9 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~9, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[1] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[1], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~7 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~7, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|tdo~0, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg~0, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|tdo_bypass_reg, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][3]~feeder , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][3]~feeder, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~0, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][3] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][3], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][6] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][6], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~12 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~12, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[6] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[6], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5]~feeder , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5]~feeder, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][5], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~11 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~11, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[5] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[5], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~10 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~10, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[4] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[4], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][4], gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~13 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg~13, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[7] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irsr_reg[7], gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~feeder , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7]~feeder, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][7], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|~GND , auto_signaltap_0|~GND, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit1a[0]~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit1a[0]~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[3], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[2], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[3]~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[3]~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[1], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit1a[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit1a[0], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr[0]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr[0]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr[0], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[137]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[137]~feeder, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~feeder , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0]~feeder, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][0], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~0, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[2], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[3], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[137]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[137]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[137] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[137], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[136]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[136]~feeder, gA6_lab3, 1 @@ -2478,21 +2632,19 @@ instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_con instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[135] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[135], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[134]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[134]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[134] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[134], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[133]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[133]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[133] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[133], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[132]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[132]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[132] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[132], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[131]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[131]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[131] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[131], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[130] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[130], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[129]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[129]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[129] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[129], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[128]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[128]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[128] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[128], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[127]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[127]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[127] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[127], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[126]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[126]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[126] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[126], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[125]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[125]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[125] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[125], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[124]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[124]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[124] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[124], gA6_lab3, 1 @@ -2500,10 +2652,9 @@ instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_con instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[123] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[123], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[122]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[122]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[122] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[122], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[121]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[121]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[121] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[121], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[120]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[120]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[120] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[120], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[119]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[119]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[119] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[119], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[118]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[118]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[118] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[118], gA6_lab3, 1 @@ -2511,38 +2662,36 @@ instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_con instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[117] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[117], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[116]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[116]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[116] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[116], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[115]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[115]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[115] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[115], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[114]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[114]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[114] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[114], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[113]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[113]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[113] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[113], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[112] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[112], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[111]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[111]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[111] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[111], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[110]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[110]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[110] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[110], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[109] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[109], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[108]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[108]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[108] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[108], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[107]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[107]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[107] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[107], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[106] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[106], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[105]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[105]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[105] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[105], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[104]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[104]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[104] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[104], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[103] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[103], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[102]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[102]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[102] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[102], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[101]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[101]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[101] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[101], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[100] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[100], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[99]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[99]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[99] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[99], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[98]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[98]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[98] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[98], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[97] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[97], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[96]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[96]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[96] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[96], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[95]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[95]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[95] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[95], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[94] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[94], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[93]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[93]~feeder, gA6_lab3, 1 @@ -2552,9 +2701,9 @@ instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_con instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[91] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[91], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[90]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[90]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[90] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[90], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[89]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[89]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[89] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[89], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[88] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[88], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[87]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[87]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[87] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[87], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[86]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[86]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[86] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[86], gA6_lab3, 1 @@ -2563,53 +2712,52 @@ instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_con instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[83]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[83]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[83] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[83], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[82] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[82], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[81]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[81]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[81] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[81], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[80] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[80], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[79] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[79], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[78] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[78], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[77]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[77]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[77] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[77], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[76] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[76], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[75] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[75], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[74] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[74], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[73] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[73], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[72]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[72]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[72] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[72], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[71]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[71]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[71] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[71], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[70] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[70], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[69] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[69], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[68]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[68]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[68] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[68], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[67] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[67], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[66]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[66]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[66] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[66], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[65] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[65], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[64] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[64], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[63]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[63]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[63] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[63], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[62]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[62]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[62] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[62], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[61] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[61], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[60]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[60]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[60] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[60], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[59]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[59]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[59] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[59], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[58] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[58], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[57]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[57]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[57] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[57], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[56]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[56]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[56] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[56], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[55] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[55], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[54]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[54]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[54] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[54], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[53]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[53]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[53] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[53], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[52] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[52], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[51]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[51]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[51] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[51], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[50]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[50]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[50] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[50], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[49] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[49], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[48]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[48]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[48] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[48], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[47]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[47]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[47] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[47], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[46] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[46], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[45]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[45]~feeder, gA6_lab3, 1 @@ -2617,6 +2765,7 @@ instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_con instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[44]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[44]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[44] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[44], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[43] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[43], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[42]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[42]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[42] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[42], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[41]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[41]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[41] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[41], gA6_lab3, 1 @@ -2632,30 +2781,27 @@ instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_con instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[34] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[34], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[33]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[33]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[33] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[33], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[32]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[32]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[32] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[32], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[31] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[31], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[30]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[30]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[30] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[30], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[29]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[29]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[29] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[29], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[28] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[28], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[27]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[27]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[27] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[27], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[26]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[26]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[26] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[26], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[25] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[25], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[24] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[24], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[23]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[23]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[23] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[23], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[22] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[22], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[21] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[21], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[20]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[20]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[20] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[20], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[19] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[19], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[18] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[18], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[17]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[17]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[17] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[17], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[16] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[16], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[15]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[15]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[15] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[15], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[14]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[14]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[14] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[14], gA6_lab3, 1 @@ -2666,6 +2812,7 @@ instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_con instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[11] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[11], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[10] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[10], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[9] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[9], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[8]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[8]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[8] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[8], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[7] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[7], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[6]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[6]~feeder, gA6_lab3, 1 @@ -2674,11 +2821,12 @@ instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_con instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[5] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[5], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[4] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[4], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[3], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[2]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[2]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[2], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[1], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[0]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[0]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_condition_deserialize|dffs[0], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[9] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[9], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[8]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[8]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[8] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[8], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[7]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[7]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[7] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[7], gA6_lab3, 1 @@ -2686,6 +2834,7 @@ instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_con instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[6] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[6], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[5]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[5]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[5] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[5], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[4]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[4]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[4] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[4], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[3]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[3]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[3], gA6_lab3, 1 @@ -2694,180 +2843,349 @@ instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_con instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[1]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[1]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[1], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[0], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[41] , auto_signaltap_0|acq_trigger_in_reg[41], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|holdff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|p_match_out~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:41:sm1|regoutff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[39] , auto_signaltap_0|acq_trigger_in_reg[39], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|holdff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|p_match_out~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:39:sm1|regoutff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[40] , auto_signaltap_0|acq_trigger_in_reg[40], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|holdff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|p_match_out~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:40:sm1|regoutff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~2 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|last_level_delayed~2, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[43] , auto_signaltap_0|acq_trigger_in_reg[43], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|holdff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|p_match_out~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:43:sm1|regoutff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[45] , auto_signaltap_0|acq_trigger_in_reg[45], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|holdff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|p_match_out~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:45:sm1|regoutff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[44] , auto_signaltap_0|acq_trigger_in_reg[44], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|holdff~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|holdff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|p_match_out~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:44:sm1|regoutff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|last_level_delayed~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[37] , auto_signaltap_0|acq_trigger_in_reg[37], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|holdff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|p_match_out~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:37:sm1|regoutff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[38] , auto_signaltap_0|acq_trigger_in_reg[38], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|holdff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|p_match_out~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:38:sm1|regoutff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[36] , auto_signaltap_0|acq_trigger_in_reg[36], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|holdff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|p_match_out~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:36:sm1|regoutff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~3 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|last_level_delayed~3, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~5 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|last_level_delayed~5, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|last_level_delayed~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[23] , auto_signaltap_0|acq_trigger_in_reg[23], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|p_match_out~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:23:sm1|regoutff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[24] , auto_signaltap_0|acq_trigger_in_reg[24], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|holdff~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|holdff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|p_match_out~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:24:sm1|regoutff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[26] , auto_signaltap_0|acq_trigger_in_reg[26], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|p_match_out~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:26:sm1|regoutff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~7 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|last_level_delayed~7, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[21]~feeder , auto_signaltap_0|acq_trigger_in_reg[21]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[21] , auto_signaltap_0|acq_trigger_in_reg[21], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|p_match_out~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:21:sm1|regoutff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[22]~feeder , auto_signaltap_0|acq_trigger_in_reg[22]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[22] , auto_signaltap_0|acq_trigger_in_reg[22], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|p_match_out~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:22:sm1|regoutff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[20] , auto_signaltap_0|acq_trigger_in_reg[20], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|p_match_out~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:20:sm1|regoutff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~8 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|last_level_delayed~8, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[28]~feeder , auto_signaltap_0|acq_trigger_in_reg[28]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[28] , auto_signaltap_0|acq_trigger_in_reg[28], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|holdff~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|holdff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|p_match_out~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:28:sm1|regoutff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[27]~feeder , auto_signaltap_0|acq_trigger_in_reg[27]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[27] , auto_signaltap_0|acq_trigger_in_reg[27], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|holdff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|p_match_out~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:27:sm1|regoutff, gA6_lab3, 1 +instance = comp, \mode[1]~_wirecell , mode[1]~_wirecell, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[29]~feeder , auto_signaltap_0|acq_trigger_in_reg[29]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|acq_trigger_in_reg[29] , auto_signaltap_0|acq_trigger_in_reg[29], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|holdff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|p_match_out~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|trigger_modules_gen:0:trigger_match|gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|regoutff , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\basic_multi_level_mbpm_trigger_gen:multi_level_mbpm|\trigger_modules_gen:0:trigger_match|\gen_sbpmg_pipeline_less_than_two:sm0:29:sm1|regoutff, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~6 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|last_level_delayed~6, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~10 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|last_level_delayed~10, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~16 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|last_level_delayed~16, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|\builtin:ela_trigger_flow_mgr_entity|last_level_delayed, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[2]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[2]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[2], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[3], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~2 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~2, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~4 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~4, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[1], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~7 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~7, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[2], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~19 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~19, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[6] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[6], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~14 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~14, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~20 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~20, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~22 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~22, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[7] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[7], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~13 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~13, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[4] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[4], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~16 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~16, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[5] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[5], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[3], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[2]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[2]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[2], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[1]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[1]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[1], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[0]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[0]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[0], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[6]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[6]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[6] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[6], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[5]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[5]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[5] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[5], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[4]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[4]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[4] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[4], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[2]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[2]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[2], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[0], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[0], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~7 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~7, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~22 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~22, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[7] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[7], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~15 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~15, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[2]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[2]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[2], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~9 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~9, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~11 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~11, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~13 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~13, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][1] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][1], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~2 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~2, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~3 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~3, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~13 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~13, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[4] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[4], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~2 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~2, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~1, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal1~4, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~10 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~10, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[3], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~7 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~7, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[2], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~16 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~16, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[5] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[5], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~4 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~4, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[1], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~19 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~19, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[6] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[6], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~2 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~2, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0]~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[4] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:next_address[4], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~0, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:next_address[0], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~2 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~2, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~1, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:last_trigger_address_var[1], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[1]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[1]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[1], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~4 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~4, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~6 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~6, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~3 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~3, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:next_address[3], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~8 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~8, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~6 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~6, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[6] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:next_address[6], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~10 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~10, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~5 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~5, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[5] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:next_address[5], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~12 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~12, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~3 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~3, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:is_buffer_wrapped , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:is_buffer_wrapped, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:segment_shift_var , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:segment_shift_var, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2]~feeder , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2]~feeder, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|irf_reg[1][2], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|base_address~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|base_address~0, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:base_address[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:base_address[0], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|current_segment_delayed[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|current_segment_delayed[0], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:segment_shift_var , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:segment_shift_var, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[2]~2 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[2]~2, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[2], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:next_address[3], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~4 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~4, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|~GND , auto_signaltap_0|~GND, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit1a[0]~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit1a[0]~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[3]~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[3]~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[0], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[1], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit1a[3], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit1a[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit1a[0], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr[0]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr[0]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr[0], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[0]~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[0]~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[0], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:last_trigger_address_var[0]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:last_trigger_address_var[0], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[0]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[0]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[0], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][1]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][1]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][1], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[1]~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[1]~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[1], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~2 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~2, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:next_address[2], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~3 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~3, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:last_trigger_address_var[2]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:last_trigger_address_var[2], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[2]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[2]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[2], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[3]~3 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[3]~3, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[3], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[5]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:last_trigger_address_var[5]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[5] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:last_trigger_address_var[5], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[5] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[5], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][6] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][6], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[6]~6 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[6]~6, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[6] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[6], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~6 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~6, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[6] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[6], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[4] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:last_trigger_address_var[4], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[4]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[4]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[4] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[4], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[5]~5 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[5]~5, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[5] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[5], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~5 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~5, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[5] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[5], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:last_trigger_address_var[3]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:last_trigger_address_var[3], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[3]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[3]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[3], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4]~feeder, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[4]~4 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[4]~4, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[4] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[4], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|node_ena_proc~0, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|Equal0~2 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|Equal0~2, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|virtual_dr_scan_reg , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|virtual_dr_scan_reg, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~2 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|node_ena~2, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~4 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~4, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~2 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~2, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~1 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|node_ena~1, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~3 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|node_ena~3, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena[1]~reg0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|node_ena[1]~reg0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~4 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~4, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[4] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[4], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:last_trigger_address_var[2]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:last_trigger_address_var[2], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[2], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[3]~3 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[3]~3, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[3], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~3 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~3, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[3] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[3], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~1, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:last_trigger_address_var[1], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[1], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~feeder, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[2]~2 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[2]~2, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[2], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~2 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~2, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[2] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[2], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:last_trigger_address_var[0]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:last_trigger_address_var[0], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[0], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][1], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[1]~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[1]~1, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[1], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~1, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[1], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~2 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~2, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~3 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~3, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:is_buffer_wrapped , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:is_buffer_wrapped, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[0]~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[0]~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[0], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~0, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[0], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~feeder , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~feeder, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~1, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[1] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[1], gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[0], gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~0, gA6_lab3, 1 -instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~3 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~3, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~2 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1]~2, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_mode_reg[1], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~1 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|node_ena~1, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena~3 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|node_ena~3, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|node_ena[1]~reg0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|node_ena[1]~reg0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:done, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|collecting_post_data_var~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|collecting_post_data_var~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:collecting_post_data_var , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|\buffer_manager:collecting_post_data_var, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~0 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~0, gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[0] , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[0], gA6_lab3, 1 +instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~1 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~1, gA6_lab3, 1 instance = comp, \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~4 , auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo~4, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~2 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|tdo~2, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~6 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~6, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~9 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~9, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1]~11 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1]~11, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|clear_signal , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|clear_signal, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2]~14 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2]~14, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3]~16 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3]~16, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~20 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~20, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[3], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[4]~18 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[4]~18, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[4] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[4], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~10 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~10, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~13 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[0]~13, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[1], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~4 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~4, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|word_counter[2], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~5 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~5, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~7 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR~7, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0]~16 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0]~16, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg|WORD_SR[0], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~3 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~3, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena~0, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg[3] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg[3], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~2 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~2, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg[2] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg[2], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~1 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~1, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg[1] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg[1], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg~0, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg[0] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_minor_ver_reg[0], gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|tdo~0, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~1 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|tdo~1, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~4 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|tdo~4, gA6_lab3, 1 -instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~3 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|tdo~3, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1]~7 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1]~7, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2]~11 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2]~11, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~10 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~10, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[2], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~10 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~10, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~9 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~9, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[1], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3]~13 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3]~13, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[3], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~15 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4]~15, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|mixer_addr_reg[4], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~9 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~9, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~6 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~6, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~7 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~7, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0]~0, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~17 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg~17, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[1]~1 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[1]~1, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[3]~feeder , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[3]~feeder, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~0, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~1 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[0]~1, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[3] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[3], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[2]~feeder , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[2]~feeder, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[2] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_shift_reg[2], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[2]~feeder , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[2]~feeder, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[0]~0, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[2] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[2], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[2]~2 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[2]~2, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[3]~feeder , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[3]~feeder, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[3] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|identity_contrib_update_reg[3], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~3 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3]~3, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_proc~0 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_proc~0, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|hub_info_reg_ena, gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[3], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[2] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[2], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[1] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[1], gA6_lab3, 1 +instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0] , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|design_hash_reg[0], gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~5 , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|tdo~5, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|tdo, gA6_lab3, 1 instance = comp, \auto_hub|jtag_hub_gen:sld_jtag_hub_inst|tdo~_wirecell , auto_hub|\jtag_hub_gen:sld_jtag_hub_inst|tdo~_wirecell, gA6_lab3, 1